summaryrefslogtreecommitdiffstats
path: root/examples/based.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'examples/based.vhd')
-rw-r--r--examples/based.vhd3
1 files changed, 2 insertions, 1 deletions
diff --git a/examples/based.vhd b/examples/based.vhd
index 1a8d2a1..7020b83 100644
--- a/examples/based.vhd
+++ b/examples/based.vhd
@@ -4,9 +4,10 @@ USE IEEE.std_logic_1164.all;
entity based is port( sysclk : in std_logic);
end based;
architecture rtl of based is
- signal foo,foo2,foo8,foo10,foo11,foo16 : integer;
+ signal foo,foo1,foo2,foo8,foo10,foo11,foo16 : integer;
begin
foo <= 123;
+ foo1 <= 123_456;
foo2 <= 2#00101101110111#;
foo8 <= 8#0177362#;
foo10<= 10#01234#;
OpenPOWER on IntegriCloud