summaryrefslogtreecommitdiffstats
path: root/examples/ifchain.vhd
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2017-02-12 21:37:55 -0300
committerRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2017-02-14 22:01:08 -0300
commit40194fa7f34b2130afe4be5d02b41cd56be0f3a5 (patch)
tree0620767a9848a038b20ed22aabc65deb53c826de /examples/ifchain.vhd
parentfd94b98a5c5f7ec819511445bdcf4bbe34338b7b (diff)
downloadvhdl2vl-40194fa7f34b2130afe4be5d02b41cd56be0f3a5.tar.gz
vhdl2vl-40194fa7f34b2130afe4be5d02b41cd56be0f3a5.zip
Added analysis of examples with GHDL
Some examples were corrected according GHDL complains. Corresponding traslated_examples were modified. Use of synopsys libraries was removed. Translation of gh_fifo_async16_sr.vhd fails (complains about 'unsigned'). The problem was comented.
Diffstat (limited to 'examples/ifchain.vhd')
-rw-r--r--examples/ifchain.vhd11
1 files changed, 7 insertions, 4 deletions
diff --git a/examples/ifchain.vhd b/examples/ifchain.vhd
index 651947a..dbc0a98 100644
--- a/examples/ifchain.vhd
+++ b/examples/ifchain.vhd
@@ -1,9 +1,11 @@
LIBRARY IEEE;
-USE IEEE.std_logic_1164.all, IEEE.std_logic_arith.all, IEEE.std_logic_unsigned.all;
+USE IEEE.std_logic_1164.all;
+
entity test is port(
clk, rstn : in std_logic
);
end test;
+
architecture rtl of test is
type t is array (3 downto 0) of std_logic_vector(31 downto 0);
signal a : std_logic_vector(3 downto 0);
@@ -11,12 +13,13 @@ architecture rtl of test is
signal status : std_logic;
signal c : t;
begin
+
process(clk) begin
if clk'event and clk = '1' then
if b(1) & a(3 downto 2) = "001" then
- status <= "1";
- c(0) <= x"FFFF";
- end if;
+ status <= '1';
+ c(0) <= x"FFFFFFFF";
+ end if;
end if;
end process;
OpenPOWER on IntegriCloud