summaryrefslogtreecommitdiffstats
path: root/examples/ifchain.vhd
diff options
context:
space:
mode:
authorLarry Doolittle <ldoolitt@recycle.lbl.gov>2009-02-27 23:40:22 -0800
committerLarry Doolittle <ldoolitt@recycle.lbl.gov>2015-09-20 13:05:30 -0700
commit04da8e3a7c616b746c3506ccb9344fdd2959a967 (patch)
tree1b9224bff66f8c19a0f04babd9b3114ad3539ecc /examples/ifchain.vhd
downloadvhdl2vl-04da8e3a7c616b746c3506ccb9344fdd2959a967.tar.gz
vhdl2vl-04da8e3a7c616b746c3506ccb9344fdd2959a967.zip
vhd2vl-2.2
Diffstat (limited to 'examples/ifchain.vhd')
-rw-r--r--examples/ifchain.vhd20
1 files changed, 20 insertions, 0 deletions
diff --git a/examples/ifchain.vhd b/examples/ifchain.vhd
new file mode 100644
index 0000000..161ba34
--- /dev/null
+++ b/examples/ifchain.vhd
@@ -0,0 +1,20 @@
+LIBRARY IEEE;
+USE IEEE.std_logic_1164.all, IEEE.std_logic_arith.all, IEEE.std_logic_unsigned.all;
+entity test is port(
+ clk, rstn : in std_logic
+);
+end test;
+architecture rtl of test is
+ signal a : std_logic_vector(3 downto 0);
+ signal b : std_logic_vector(3 downto 0);
+ signal status : std_logic;
+begin
+ process(clk) begin
+ if clk'event and clk = '1' then
+ if b(1) & a(3 downto 2) = "001" then
+ status <= "1";
+ end if;
+ end if;
+ end process;
+
+end rtl;
OpenPOWER on IntegriCloud