summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 12:04:54 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 12:04:54 -0300
commit6252447712e65498ba7b37d03f4832ecb645db44 (patch)
treeafb1378ef1ff6a0a86071b88b4990bd135912017
parentd1ba84de023c79d6364d31fc81ff843ab53ab5f3 (diff)
downloadvhdl2vl-6252447712e65498ba7b37d03f4832ecb645db44.tar.gz
vhdl2vl-6252447712e65498ba7b37d03f4832ecb645db44.zip
Changes on genericmap due to unsupported port assignment
This unsupported port assignament and one unsupported type of instantiation were added to todo.vhd.
-rw-r--r--examples/genericmap.vhd4
-rw-r--r--examples/todo.vhd25
-rw-r--r--translated_examples/genericmap.v4
3 files changed, 26 insertions, 7 deletions
diff --git a/examples/genericmap.vhd b/examples/genericmap.vhd
index 9d0760d..532a49e 100644
--- a/examples/genericmap.vhd
+++ b/examples/genericmap.vhd
@@ -68,7 +68,7 @@ begin
start => '0',
param => X"42",
addr => "101",
- din => "00" & X"111111",
+ din => "00000100010001000100010001",
we => '0',
-- Outputs
dout => dout(23 downto 0),
@@ -88,7 +88,7 @@ begin
start => '0',
param => X"42",
addr => "101",
- din => "00" & X"001111",
+ din => "00000000000001000100010001",
we => '0',
-- Outputs
dout => dout(15 downto 0),
diff --git a/examples/todo.vhd b/examples/todo.vhd
index a6ff7c2..2a5b239 100644
--- a/examples/todo.vhd
+++ b/examples/todo.vhd
@@ -11,10 +11,29 @@ end todo;
architecture rtl of todo is
signal int : integer;
- signal uns : unsigned(3 downto 0);
+ signal uns : unsigned(7 downto 0);
begin
+ --**************************************************************************
+ -- Wrong translations
+ --**************************************************************************
-- to_integer is unsupported (is not removed)
- uns <= "1010";
+ uns <= "10101001";
int <= to_integer(uns);
- --
+ --**************************************************************************
+ -- Translations which abort with syntax error (uncomment to test)
+ --**************************************************************************
+ -- Concatenation in port assignament fail
+-- uns <= "0000" & X"1"; -- It is supported
+-- dut1_i: signextend
+-- port map (
+-- i => "00000000" & X"11", -- But here fail
+-- o => open
+-- );
+ -- Unsupported type of instantiation
+-- dut2_i: entity work.signextend
+-- port map (
+-- i => (others => '0'),
+-- o => open
+-- );
+
end rtl;
diff --git a/translated_examples/genericmap.v b/translated_examples/genericmap.v
index 010962e..7cb9a75 100644
--- a/translated_examples/genericmap.v
+++ b/translated_examples/genericmap.v
@@ -49,7 +49,7 @@ wire [1:0] colour;
.start(1'b0),
.param(8'h42),
.addr(3'b101),
- .din(24'h111111),
+ .din(26'b00000100010001000100010001),
.we(1'b0),
// Outputs
.dout(dout[23:0]),
@@ -67,7 +67,7 @@ wire [1:0] colour;
.start(1'b0),
.param(8'h42),
.addr(3'b101),
- .din(16'h1111),
+ .din(26'b00000000000001000100010001),
.we(1'b0),
// Outputs
.dout(dout[15:0]),
OpenPOWER on IntegriCloud