summaryrefslogtreecommitdiffstats
path: root/examples/todo.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'examples/todo.vhd')
-rw-r--r--examples/todo.vhd25
1 files changed, 22 insertions, 3 deletions
diff --git a/examples/todo.vhd b/examples/todo.vhd
index a6ff7c2..2a5b239 100644
--- a/examples/todo.vhd
+++ b/examples/todo.vhd
@@ -11,10 +11,29 @@ end todo;
architecture rtl of todo is
signal int : integer;
- signal uns : unsigned(3 downto 0);
+ signal uns : unsigned(7 downto 0);
begin
+ --**************************************************************************
+ -- Wrong translations
+ --**************************************************************************
-- to_integer is unsupported (is not removed)
- uns <= "1010";
+ uns <= "10101001";
int <= to_integer(uns);
- --
+ --**************************************************************************
+ -- Translations which abort with syntax error (uncomment to test)
+ --**************************************************************************
+ -- Concatenation in port assignament fail
+-- uns <= "0000" & X"1"; -- It is supported
+-- dut1_i: signextend
+-- port map (
+-- i => "00000000" & X"11", -- But here fail
+-- o => open
+-- );
+ -- Unsupported type of instantiation
+-- dut2_i: entity work.signextend
+-- port map (
+-- i => (others => '0'),
+-- o => open
+-- );
+
end rtl;
OpenPOWER on IntegriCloud