summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 12:02:56 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 12:02:56 -0300
commitd1ba84de023c79d6364d31fc81ff843ab53ab5f3 (patch)
tree4edac5c97f12861ef83b6278362cd4b8305be082
parentbd7fd60ff049bb3bb914f69d42a8b3717c9b8fc3 (diff)
downloadvhdl2vl-d1ba84de023c79d6364d31fc81ff843ab53ab5f3.tar.gz
vhdl2vl-d1ba84de023c79d6364d31fc81ff843ab53ab5f3.zip
The resulting files of the GHDL analysis were moved to temp/vhdl
-rw-r--r--examples/Makefile7
1 files changed, 5 insertions, 2 deletions
diff --git a/examples/Makefile b/examples/Makefile
index 529668b..82b9c80 100644
--- a/examples/Makefile
+++ b/examples/Makefile
@@ -1,8 +1,11 @@
#!/usr/bin/make
# by RAM 2017
+TEMP = ../temp/vhdl
+
all:
- ghdl -a *.vhd
+ @mkdir -p $(TEMP)
+ ghdl -a --workdir=$(TEMP) *.vhd
clean:
- rm -fr *.o *.cf
+ rm -fr $(TEMP)
OpenPOWER on IntegriCloud