summaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
Diffstat (limited to 'examples')
-rw-r--r--examples/Scientific.vhd4
-rw-r--r--examples/todo.vhd1
2 files changed, 3 insertions, 2 deletions
diff --git a/examples/Scientific.vhd b/examples/Scientific.vhd
index d71e55d..3d6655a 100644
--- a/examples/Scientific.vhd
+++ b/examples/Scientific.vhd
@@ -5,7 +5,9 @@ entity Scientific is
generic (
exp1: integer := 25e6;
exp2: integer := 25E6;
- exp3: real := 25.0e6
+ exp3: real := 25.0e6;
+ exp4: real := 50.0e+3;
+ exp5: real := 50.0e-3
);
port(
clk : in std_logic
diff --git a/examples/todo.vhd b/examples/todo.vhd
index bd9e81f..a5d4de5 100644
--- a/examples/todo.vhd
+++ b/examples/todo.vhd
@@ -5,7 +5,6 @@ use IEEE.numeric_std.all;
entity todo is
generic(
INBYLEVEL : boolean:=FALSE
- --DEB_TIME : real:=50.0e-3 -- unexpected NAME, expecting ')' at "e"
);
port (
clk_i : in std_logic;
OpenPOWER on IntegriCloud