summaryrefslogtreecommitdiffstats
path: root/examples/Scientific.vhd
blob: d71e55d17aee3fdd33edae81dd5c582e01b987f2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
library IEEE;
use IEEE.std_logic_1164.all;

entity Scientific is
   generic (
      exp1: integer := 25e6;
      exp2: integer := 25E6;
      exp3: real    := 25.0e6
   );
   port(
      clk : in std_logic
   );
end Scientific;
OpenPOWER on IntegriCloud