summaryrefslogtreecommitdiffstats
path: root/examples/Scientific.vhd
blob: 3d6655a58f95ffba8d0c3b1a90ea3a46b1d4baf3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library IEEE;
use IEEE.std_logic_1164.all;

entity Scientific is
   generic (
      exp1: integer := 25e6;
      exp2: integer := 25E6;
      exp3: real    := 25.0e6;
      exp4: real    := 50.0e+3;
      exp5: real    := 50.0e-3
   );
   port(
      clk : in std_logic
   );
end Scientific;
OpenPOWER on IntegriCloud