summaryrefslogtreecommitdiffstats
path: root/examples/Scientific.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'examples/Scientific.vhd')
-rw-r--r--examples/Scientific.vhd13
1 files changed, 13 insertions, 0 deletions
diff --git a/examples/Scientific.vhd b/examples/Scientific.vhd
new file mode 100644
index 0000000..d71e55d
--- /dev/null
+++ b/examples/Scientific.vhd
@@ -0,0 +1,13 @@
+library IEEE;
+use IEEE.std_logic_1164.all;
+
+entity Scientific is
+ generic (
+ exp1: integer := 25e6;
+ exp2: integer := 25E6;
+ exp3: real := 25.0e6
+ );
+ port(
+ clk : in std_logic
+ );
+end Scientific;
OpenPOWER on IntegriCloud