summaryrefslogtreecommitdiffstats
path: root/examples
diff options
context:
space:
mode:
authorLarry Doolittle <ldoolitt@recycle.lbl.gov>2017-11-26 19:21:17 -0800
committerLarry Doolittle <ldoolitt@recycle.lbl.gov>2017-11-26 19:21:17 -0800
commitb549320d436c9504bf56fb62e430b394758abacd (patch)
tree4941186a5d9a839fea286ec76f53b18fd0c3c23d /examples
parentc09fdd94910cde79530a128027bce7102fe87b78 (diff)
downloadvhdl2vl-b549320d436c9504bf56fb62e430b394758abacd.tar.gz
vhdl2vl-b549320d436c9504bf56fb62e430b394758abacd.zip
Examples: match case of module name in filename
Diffstat (limited to 'examples')
-rw-r--r--examples/Scientific.vhd (renamed from examples/scientific.vhd)0
1 files changed, 0 insertions, 0 deletions
diff --git a/examples/scientific.vhd b/examples/Scientific.vhd
index d71e55d..d71e55d 100644
--- a/examples/scientific.vhd
+++ b/examples/Scientific.vhd
OpenPOWER on IntegriCloud