summaryrefslogtreecommitdiffstats
path: root/examples/genericmap.vhd
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 12:04:54 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 12:04:54 -0300
commit6252447712e65498ba7b37d03f4832ecb645db44 (patch)
treeafb1378ef1ff6a0a86071b88b4990bd135912017 /examples/genericmap.vhd
parentd1ba84de023c79d6364d31fc81ff843ab53ab5f3 (diff)
downloadvhdl2vl-6252447712e65498ba7b37d03f4832ecb645db44.tar.gz
vhdl2vl-6252447712e65498ba7b37d03f4832ecb645db44.zip
Changes on genericmap due to unsupported port assignment
This unsupported port assignament and one unsupported type of instantiation were added to todo.vhd.
Diffstat (limited to 'examples/genericmap.vhd')
-rw-r--r--examples/genericmap.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/examples/genericmap.vhd b/examples/genericmap.vhd
index 9d0760d..532a49e 100644
--- a/examples/genericmap.vhd
+++ b/examples/genericmap.vhd
@@ -68,7 +68,7 @@ begin
start => '0',
param => X"42",
addr => "101",
- din => "00" & X"111111",
+ din => "00000100010001000100010001",
we => '0',
-- Outputs
dout => dout(23 downto 0),
@@ -88,7 +88,7 @@ begin
start => '0',
param => X"42",
addr => "101",
- din => "00" & X"001111",
+ din => "00000000000001000100010001",
we => '0',
-- Outputs
dout => dout(15 downto 0),
OpenPOWER on IntegriCloud