summaryrefslogtreecommitdiffstats
path: root/examples/genericmap.vhd
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 10:42:10 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 10:42:10 -0300
commit194af658cd6a9021999d5e416a7a0a04d8dc4fa8 (patch)
treeb756a4dffb1371ea0110f944eaab1ffc0680949b /examples/genericmap.vhd
parent1afbdf0b2553d000b265d3cf76fce4d069cfa7ce (diff)
downloadvhdl2vl-194af658cd6a9021999d5e416a7a0a04d8dc4fa8.tar.gz
vhdl2vl-194af658cd6a9021999d5e416a7a0a04d8dc4fa8.zip
Examples were corrected according to GHDL complains
Diffstat (limited to 'examples/genericmap.vhd')
-rw-r--r--examples/genericmap.vhd4
1 files changed, 2 insertions, 2 deletions
diff --git a/examples/genericmap.vhd b/examples/genericmap.vhd
index 9da3806..9d0760d 100644
--- a/examples/genericmap.vhd
+++ b/examples/genericmap.vhd
@@ -68,7 +68,7 @@ begin
start => '0',
param => X"42",
addr => "101",
- din => X"111111",
+ din => "00" & X"111111",
we => '0',
-- Outputs
dout => dout(23 downto 0),
@@ -88,7 +88,7 @@ begin
start => '0',
param => X"42",
addr => "101",
- din => X"1111",
+ din => "00" & X"001111",
we => '0',
-- Outputs
dout => dout(15 downto 0),
OpenPOWER on IntegriCloud