summaryrefslogtreecommitdiffstats
path: root/examples/bigfile.vhd
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2017-02-12 21:37:55 -0300
committerRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2017-02-14 22:01:08 -0300
commit40194fa7f34b2130afe4be5d02b41cd56be0f3a5 (patch)
tree0620767a9848a038b20ed22aabc65deb53c826de /examples/bigfile.vhd
parentfd94b98a5c5f7ec819511445bdcf4bbe34338b7b (diff)
downloadvhdl2vl-40194fa7f34b2130afe4be5d02b41cd56be0f3a5.tar.gz
vhdl2vl-40194fa7f34b2130afe4be5d02b41cd56be0f3a5.zip
Added analysis of examples with GHDL
Some examples were corrected according GHDL complains. Corresponding traslated_examples were modified. Use of synopsys libraries was removed. Translation of gh_fifo_async16_sr.vhd fails (complains about 'unsigned'). The problem was comented.
Diffstat (limited to 'examples/bigfile.vhd')
-rw-r--r--examples/bigfile.vhd1
1 files changed, 0 insertions, 1 deletions
diff --git a/examples/bigfile.vhd b/examples/bigfile.vhd
index 9d76855..3fc1d9d 100644
--- a/examples/bigfile.vhd
+++ b/examples/bigfile.vhd
@@ -1,6 +1,5 @@
library IEEE;
use ieee.std_logic_1164.all;
-use ieee.std_logic_misc.all;
use ieee.numeric_std.all;
-- CONNECTIVITY DEFINITION
OpenPOWER on IntegriCloud