summaryrefslogtreecommitdiffstats
path: root/translated_examples/whileloop.v
blob: d3778fb94301f6e2fdbe117ebc876665039f3ac2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
// no timescale needed

module whileloop(
input wire [31:0] A,
output reg [3:0] Z
);





  always @(A) begin : P1
    reg [31:0] I;

    Z <= 4'b0000;
    I = 0;
    while ((I <= 3)) begin
      if((A == I)) begin
        Z[I] <= 1'b1;
      end
      I = I + 1;
    end
  end


endmodule
OpenPOWER on IntegriCloud