summaryrefslogtreecommitdiffstats
path: root/translated_examples/wbit1.v
blob: 3c456727f4118ad351578851cb05951a46c6cb41 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
// Nearly useless stub, it's here to support generate.vhd
// no timescale needed

module wbit1(
input wire clk,
input wire wrb,
input wire reset,
input wire enb,
input wire din,
output reg dout
);




wire foo;

  always @(clk) begin
    dout <= 1'b1;
  end


endmodule
OpenPOWER on IntegriCloud