summaryrefslogtreecommitdiffstats
path: root/translated_examples/ifchain.v
blob: e037889e8ca3dd5390855fd4cc0c5f193a6129a8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
// no timescale needed

module ifchain(
input wire clk,
input wire rstn
);





wire [3:0] a;
wire [3:0] b;
reg status;
reg [31:0] c[3:0];

  always @(posedge clk) begin
    if({b[1],a[3:2]} == 3'b001) begin
      status <= 1'b1;
      c[0] <= 32'hFFFFFFFF;
    end
  end


endmodule
OpenPOWER on IntegriCloud