summaryrefslogtreecommitdiffstats
path: root/translated_examples/dsp.v
blob: c91fbcda569d364869df9944bba10aba52786c47 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
// Nearly useless stub, it's here to support genericmap.vhd
// no timescale needed

module dsp(
input wire clk,
input wire rstn,
input wire en,
input wire start,
input wire [7:0] param,
input wire [2:0] addr,
input wire [bus_width - 1:0] din,
input wire we,
output wire [13:0] memdin,
output reg [bus_width - 1:0] dout,
output wire [5:0] memaddr,
output wire [13:0] memdout
);

parameter rst_val=1'b0;
parameter [31:0] thing_size=201;
parameter [31:0] bus_width=24;
// Inputs
// Outputs



wire foo;

  always @(clk) begin
    dout <= 1'b1;
  end


endmodule
OpenPOWER on IntegriCloud