summaryrefslogtreecommitdiffstats
path: root/examples/wbit1.vhd
blob: 12b1e384762d4350c1c14be59ce0ab02766ef789 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
-- Nearly useless stub, it's here to support generate.vhd
LIBRARY IEEE;
USE IEEE.std_logic_1164.all;

entity wbit1 is
    port(
      clk   : in  std_logic;
      wrb   : in  std_logic;
      reset : in  std_logic;
      enb   : in  std_logic;
      din   : in  std_logic;
      dout  : out std_logic);
end;

architecture rtl of wbit1 is
    signal foo : std_logic;
begin
    process(clk) begin
       dout <= '1';
    end process;
end rtl;
OpenPOWER on IntegriCloud