summaryrefslogtreecommitdiffstats
path: root/translated_examples/genericmap.v
Commit message (Collapse)AuthorAgeFilesLines
* Added support to entity instantiationsRodrigo Alejandro Melo2017-11-281-0/+4
|
* Simple fix to genericmap exampleLarry Doolittle2017-11-181-2/+2
|
* Changes on genericmap due to unsupported port assignmentRodrigo Alejandro Melo2017-11-161-2/+2
| | | | This unsupported port assignament and one unsupported type of instantiation were added to todo.vhd.
* Rework some examples so resulting Verilog compilesLarry Doolittle2017-11-101-2/+14
|
* Fixes in examples and translated examples to avoid some complains of iVerilogRodrigo Alejandro Melo2017-02-191-2/+1
| | | | | 'test' was repeated as entity/module name 'config' was used as port name and is a reserved word in Verilog.
* Changed translated_examples due that Verilog 2001 is now the defaultRodrigo Alejandro Melo2017-02-171-67/+24
|
* Added command line option --quietRodrigo Alejandro Melo2017-02-171-21/+0
| | | | | Used to avoid header on the generated verilog file. Is a problem for regression tests. Header was removed from translated_examples.
* Space deleted in the <size>'<radix><number> notationRodrigo Alejandro Melo2017-02-091-2/+2
| | | | | | | It seems to be the more common approach and the VHDL notation BASE#NUMBER# is translated without spaces. On the other hand, the space gives an error with Yosys synthesizer. Files on translated_examples were modified.
* vhd2vl-2.5Larry Doolittle2015-09-201-2/+2
|
* vhd2vl-2.4Larry Doolittle2015-09-201-1/+4
|
* vhd2vl-2.3Larry Doolittle2015-09-201-2/+2
|
* vhd2vl-2.2Larry Doolittle2015-09-201-0/+128
OpenPOWER on IntegriCloud