summaryrefslogtreecommitdiffstats
path: root/translated_examples/dsp.v
diff options
context:
space:
mode:
Diffstat (limited to 'translated_examples/dsp.v')
-rw-r--r--translated_examples/dsp.v34
1 files changed, 34 insertions, 0 deletions
diff --git a/translated_examples/dsp.v b/translated_examples/dsp.v
new file mode 100644
index 0000000..c91fbcd
--- /dev/null
+++ b/translated_examples/dsp.v
@@ -0,0 +1,34 @@
+// Nearly useless stub, it's here to support genericmap.vhd
+// no timescale needed
+
+module dsp(
+input wire clk,
+input wire rstn,
+input wire en,
+input wire start,
+input wire [7:0] param,
+input wire [2:0] addr,
+input wire [bus_width - 1:0] din,
+input wire we,
+output wire [13:0] memdin,
+output reg [bus_width - 1:0] dout,
+output wire [5:0] memaddr,
+output wire [13:0] memdout
+);
+
+parameter rst_val=1'b0;
+parameter [31:0] thing_size=201;
+parameter [31:0] bus_width=24;
+// Inputs
+// Outputs
+
+
+
+wire foo;
+
+ always @(clk) begin
+ dout <= 1'b1;
+ end
+
+
+endmodule
OpenPOWER on IntegriCloud