summaryrefslogtreecommitdiffstats
path: root/src/vhd2vl.y
diff options
context:
space:
mode:
Diffstat (limited to 'src/vhd2vl.y')
-rw-r--r--src/vhd2vl.y3
1 files changed, 2 insertions, 1 deletions
diff --git a/src/vhd2vl.y b/src/vhd2vl.y
index c70664a..bbc15f3 100644
--- a/src/vhd2vl.y
+++ b/src/vhd2vl.y
@@ -2352,7 +2352,8 @@ simple_expr : signal {
| '(' simple_expr ')' {
expdata *e;
e=xmalloc(sizeof(expdata));
- e->sl=addwrap("(",$2->sl,")");
+ //e->sl=addwrap("(",$2->sl,")");
+ e->sl=addsl(NULL,$2->sl);
$$=e;
}
;
OpenPOWER on IntegriCloud