summaryrefslogtreecommitdiffstats
path: root/src/vhd2vl.l
diff options
context:
space:
mode:
Diffstat (limited to 'src/vhd2vl.l')
-rw-r--r--src/vhd2vl.l8
1 files changed, 8 insertions, 0 deletions
diff --git a/src/vhd2vl.l b/src/vhd2vl.l
index 661824f..e7419de 100644
--- a/src/vhd2vl.l
+++ b/src/vhd2vl.l
@@ -84,6 +84,7 @@ void getbasedstring(unsigned skip);
"unsigned" |
"std_logic_vector" |
"std_ulogic_vector" { return BITVECT; }
+"real" { return REAL; }
"downto" { return DOWNTO; }
"to" { return TO; }
"type" {return TYPE; }
@@ -148,6 +149,13 @@ void getbasedstring(unsigned skip);
return NATURAL;
}
+[0-9]+e[0-9]+ |
+[0-9]+\.[0-9]+e[0-9]+ {
+ yylval.txt=malloc(strlen(yytext)+1);
+ strcpy(yylval.txt, yytext);
+ return SCIENTIFIC;
+}
+
[0-9]+\.[0-9]+ {
getstring(0);
return FLOAT;
OpenPOWER on IntegriCloud