summaryrefslogtreecommitdiffstats
path: root/examples/todo.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'examples/todo.vhd')
-rw-r--r--examples/todo.vhd8
1 files changed, 1 insertions, 7 deletions
diff --git a/examples/todo.vhd b/examples/todo.vhd
index c0cdbd9..79b3e59 100644
--- a/examples/todo.vhd
+++ b/examples/todo.vhd
@@ -37,16 +37,10 @@ begin
--**************************************************************************
-- Concatenation in port assignament fail
-- uns <= "0000" & X"1"; -- It is supported
--- dut1_i: signextend
+-- dut1_i: entity work.signextend
-- port map (
-- i => "00000000" & X"11", -- But here fail
-- o => open
-- );
- -- Unsupported type of instantiation
--- dut2_i: entity work.signextend
--- port map (
--- i => (others => '0'),
--- o => open
--- );
end rtl;
OpenPOWER on IntegriCloud