diff options
Diffstat (limited to 'examples/forloop.vhd')
-rw-r--r-- | examples/forloop.vhd | 2 |
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/forloop.vhd b/examples/forloop.vhd index 241b8c7..492ec27 100644 --- a/examples/forloop.vhd +++ b/examples/forloop.vhd @@ -13,7 +13,7 @@ architecture rtl of forloop is begin TIMERS : process(reset, sysclk) - variable timer_var : integer; -- XXX unhandled := 0; + variable timer_var : integer; variable a, i, j, k : integer; variable zz5 : std_logic_vector(31 downto 0); variable zz : std_logic_vector(511 downto 0); |