summaryrefslogtreecommitdiffstats
path: root/src
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 13:02:29 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-16 13:02:29 -0300
commit3d45f0322dca3cb60b818cb28afc077b6e6d29b3 (patch)
tree6ec53c903a1dab87c0c59830a95aaa9d39a81967 /src
parent6252447712e65498ba7b37d03f4832ecb645db44 (diff)
downloadvhdl2vl-3d45f0322dca3cb60b818cb28afc077b6e6d29b3.tar.gz
vhdl2vl-3d45f0322dca3cb60b818cb28afc077b6e6d29b3.zip
Added (partial) support for to_integer function
Added an example that fail to todo.vhd.
Diffstat (limited to 'src')
-rw-r--r--src/vhd2vl.l1
1 files changed, 1 insertions, 0 deletions
diff --git a/src/vhd2vl.l b/src/vhd2vl.l
index e7419de..5c88605 100644
--- a/src/vhd2vl.l
+++ b/src/vhd2vl.l
@@ -126,6 +126,7 @@ void getbasedstring(unsigned skip);
"falling_edge" { return NEGEDGE;}
"resize" { return CONVFUNC_2;}
"to_unsigned" { return CONVFUNC_2;}
+"to_integer" |
"conv_integer" { return CONVFUNC_1;}
"left" { return LEFT;}
"high" { return LEFT;}
OpenPOWER on IntegriCloud