summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2017-11-26 11:00:49 -0300
committerRodrigo Alejandro Melo <rodrigomelo9@gmail.com>2017-11-26 11:00:49 -0300
commit96afe7f205ef153fedb9bf1ae6b41f189ce16f62 (patch)
treea984ea30cf0b2b2f01b8b64ac91c6bd48854fc1f
parent0262d84fc452f6abb7fb08491a3746c32912f406 (diff)
downloadvhdl2vl-96afe7f205ef153fedb9bf1ae6b41f189ce16f62.tar.gz
vhdl2vl-96afe7f205ef153fedb9bf1ae6b41f189ce16f62.zip
Deleted from todo.vhd a testcase that now is supported
-rw-r--r--examples/todo.vhd5
1 files changed, 1 insertions, 4 deletions
diff --git a/examples/todo.vhd b/examples/todo.vhd
index a5695ee..0144597 100644
--- a/examples/todo.vhd
+++ b/examples/todo.vhd
@@ -19,10 +19,7 @@ begin
--**************************************************************************
-- Wrong translations
--**************************************************************************
- -- to_integer not always work (probably the same with conv_integer)
- uns <= "10101001";
- int <= mem(to_integer(uns)); -- here work
- int <= to_integer(uns); -- here fail
+
--**************************************************************************
-- Translations which abort with syntax error (uncomment to test)
--**************************************************************************
OpenPOWER on IntegriCloud