summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorLarry Doolittle <ldoolitt@recycle.lbl.gov>2017-11-27 09:11:09 -0800
committerLarry Doolittle <ldoolitt@recycle.lbl.gov>2017-11-27 09:11:09 -0800
commit936beabe02c132b7b519fa800b3c9cc5a9d8449d (patch)
tree1179a6af8fc0f233a0c9dfe5f20d1bcf11557466
parent26a7431a18d89333df60e1284ce22cc05889edd4 (diff)
downloadvhdl2vl-936beabe02c132b7b519fa800b3c9cc5a9d8449d.tar.gz
vhdl2vl-936beabe02c132b7b519fa800b3c9cc5a9d8449d.zip
sort lists in Makefiles
-rw-r--r--Makefile2
-rw-r--r--src/makefile2
-rw-r--r--translated_examples/Makefile2
3 files changed, 4 insertions, 2 deletions
diff --git a/Makefile b/Makefile
index d378edb..cddd907 100644
--- a/Makefile
+++ b/Makefile
@@ -6,7 +6,7 @@ EXCLUDE = $(shell cat examples/exclude)
EXCLUDE := $(basename $(EXCLUDE))
EXCLUDE := $(addsuffix .vhd,$(EXCLUDE))
-VHDLS = $(wildcard examples/*.vhd)
+VHDLS = $(sort $(wildcard examples/*.vhd))
VHDLS := $(notdir $(VHDLS))
DIFFOPT = --exclude=Makefile
diff --git a/src/makefile b/src/makefile
index 131b559..089240e 100644
--- a/src/makefile
+++ b/src/makefile
@@ -14,6 +14,8 @@ WARNS = -Wall -W
# STANDARD =
# STANDARD = --std=c89 -D_DEFAULT_SOURCE
STANDARD = --std=c99 -D_DEFAULT_SOURCE
+# some older versions of libc might need -D_POSIX_C_SOURCE -D_BSD_SOURCE
+# instead of -D_DEFAULT_SOURCE
vhd2vl : lex.yy.c vhd2vl.tab.c
$(CC) ${STANDARD} ${WARNS} -O2 -g -o vhd2vl lex.yy.c vhd2vl.tab.c -lfl
diff --git a/translated_examples/Makefile b/translated_examples/Makefile
index 88f5081..d5c1b68 100644
--- a/translated_examples/Makefile
+++ b/translated_examples/Makefile
@@ -3,6 +3,6 @@
all:
ifneq ($(shell which iverilog),)
@echo "##### Checking resulting Verilog files with iverilog ###########"
- @$(foreach VERILOG,$(wildcard *.v), echo "Checking: $(VERILOG)";\
+ @$(foreach VERILOG,$(sort $(wildcard *.v)), echo "Checking: $(VERILOG)";\
iverilog -Wall -y . -t null $(VERILOG);)
endif
OpenPOWER on IntegriCloud