summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-17 11:18:09 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-11-17 11:18:09 -0300
commit48dc1011e34dfdcf11f9c4b3e68145a83e53db68 (patch)
tree9f715fbde25d8d2eec9ac0a8870ea97e93685abf
parent14a8e0c13b3e45d087ac21861e871d0a7ffcd8a0 (diff)
downloadvhdl2vl-48dc1011e34dfdcf11f9c4b3e68145a83e53db68.tar.gz
vhdl2vl-48dc1011e34dfdcf11f9c4b3e68145a83e53db68.zip
Fixed rebuild of vhd2vl in the main Makefile
-rw-r--r--Makefile11
1 files changed, 4 insertions, 7 deletions
diff --git a/Makefile b/Makefile
index 17aa7ea..fe35f67 100644
--- a/Makefile
+++ b/Makefile
@@ -7,15 +7,11 @@ VHDLS := $(filter-out todo.vhd,$(VHDLS))
all: vhdlcheck diff
-# This rule is only helpful for a quick start;
-# it doesn't understand the actual dependencies for rebuilds.
-src/vhd2vl:
- make -C src
-
vhdlcheck:
@make -C examples
-translate: src/vhd2vl
+translate:
+ @make -C src
@mkdir -p temp/verilog
@cd examples; $(foreach VHDL,$(VHDLS), echo "Translating: $(VHDL)";../src/vhd2vl --quiet $(VHDL) ../temp/verilog/$(basename $(VHDL)).v;)
@@ -26,7 +22,8 @@ diff: translate
verilogcheck:
@cd translated_examples; for f in *.v; do echo "Checking: $$f"; $(VERILOG) $$f; done
-todo: src/vhd2vl
+todo:
+ @make -C src
src/vhd2vl --quiet examples/todo.vhd temp/todo.v
clean:
OpenPOWER on IntegriCloud