summaryrefslogtreecommitdiffstats
path: root/arch/arm/include/asm/arch-vf610/iomux-vf610.h
diff options
context:
space:
mode:
authorMarcel Ziswiler <marcel@ziswiler.com>2014-03-11 18:43:58 +0100
committerAlbert ARIBAUD <albert.u.boot@aribaud.net>2014-04-07 20:15:37 +0200
commitc7098965e31b6faf1a861fcca77ef7112b9bf6e4 (patch)
tree1110f00ad17c2c5ea9500aea2bcaeab5e8670b61 /arch/arm/include/asm/arch-vf610/iomux-vf610.h
parent25839c01975b865f2e6a0dac056d207e9288a281 (diff)
downloadtalos-obmc-uboot-c7098965e31b6faf1a861fcca77ef7112b9bf6e4.tar.gz
talos-obmc-uboot-c7098965e31b6faf1a861fcca77ef7112b9bf6e4.zip
arm: vf610: add uart0 clock/iomux definitions
Add CCM_CCGR0_UART0_CTRL_MASK clock definition and add TX/RX iomux definitions for UART0 (aka. SCI0). Signed-off-by: Marcel Ziswiler <marcel@ziswiler.com> [stefan@agner.ch: regrouped patch] Signed-off-by: Stefan Agner <stefan@agner.ch>
Diffstat (limited to 'arch/arm/include/asm/arch-vf610/iomux-vf610.h')
-rw-r--r--arch/arm/include/asm/arch-vf610/iomux-vf610.h2
1 files changed, 2 insertions, 0 deletions
diff --git a/arch/arm/include/asm/arch-vf610/iomux-vf610.h b/arch/arm/include/asm/arch-vf610/iomux-vf610.h
index 4a39eb0d60..f3c201d585 100644
--- a/arch/arm/include/asm/arch-vf610/iomux-vf610.h
+++ b/arch/arm/include/asm/arch-vf610/iomux-vf610.h
@@ -24,6 +24,8 @@ enum {
VF610_PAD_PTA6__RMII0_CLKIN = IOMUX_PAD(0x0000, 0x0000, 2, __NA_, 0, VF610_ENET_PAD_CTRL),
VF610_PAD_PTB4__UART1_TX = IOMUX_PAD(0x0068, 0x0068, 2, 0x0380, 0, VF610_UART_PAD_CTRL),
VF610_PAD_PTB5__UART1_RX = IOMUX_PAD(0x006c, 0x006c, 2, 0x037c, 0, VF610_UART_PAD_CTRL),
+ VF610_PAD_PTB10__UART0_TX = IOMUX_PAD(0x0080, 0x0080, 1, __NA_, 0, VF610_UART_PAD_CTRL),
+ VF610_PAD_PTB11__UART0_RX = IOMUX_PAD(0x0084, 0x0084, 1, __NA_, 0, VF610_UART_PAD_CTRL),
VF610_PAD_PTC1__RMII0_MDIO = IOMUX_PAD(0x00b8, 0x00b8, 1, __NA_, 0, VF610_ENET_PAD_CTRL),
VF610_PAD_PTC0__RMII0_MDC = IOMUX_PAD(0x00b4, 0x00b4, 1, __NA_, 0, VF610_ENET_PAD_CTRL),
VF610_PAD_PTC2__RMII0_CRS_DV = IOMUX_PAD(0x00bc, 0x00bc, 1, __NA_, 0, VF610_ENET_PAD_CTRL),
OpenPOWER on IntegriCloud