summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
authorBrian Silver <bsilver@us.ibm.com>2014-08-14 11:43:38 -0500
committerBrian Silver <bsilver@us.ibm.com>2014-08-14 11:43:38 -0500
commit7cd36def20571c0331bc2b559c78369509b975ba (patch)
tree5779cd1a78cf72775138cbbd9139774325df1118
downloadcommon-op-xml-7cd36def20571c0331bc2b559c78369509b975ba.tar.gz
common-op-xml-7cd36def20571c0331bc2b559c78369509b975ba.zip
Initial commit
-rw-r--r--CENTAUR.xml140
-rw-r--r--DDR3_DRAM_ABSTRACT.xml31
-rw-r--r--DIMM_SPD.xml25
-rw-r--r--LANCER_B0.xml57
-rw-r--r--MOD_SEEPROM_512.xml37
-rw-r--r--PCA9538.xml45
-rw-r--r--PCIE_X16_ABSTRACT.xml42
-rw-r--r--PCIE_X8_ABSTRACT.xml42
-rw-r--r--PEX8718_PALMETTO.xml50
-rw-r--r--PGOOD_LAYERBRIDGE.xml19
-rw-r--r--README3
-rw-r--r--TULETA_FSP2.xml2285
-rw-r--r--TUSB7340.xml53
-rw-r--r--VENICE.xml323
-rw-r--r--VRD_NOI2C.xml30
-rw-r--r--ddr3_dimm_generic.xml46
-rw-r--r--mru-type-mapping.xml266
-rw-r--r--pcie_x16_card.xml43
-rw-r--r--pcie_x8_card.xml43
-rw-r--r--turismo_scm.xml254
20 files changed, 3834 insertions, 0 deletions
diff --git a/CENTAUR.xml b/CENTAUR.xml
new file mode 100644
index 0000000..4a73df2
--- /dev/null
+++ b/CENTAUR.xml
@@ -0,0 +1,140 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/CENTAUR.xml,v 1.10 2013/06/27 18:06:29 spinler Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>CENTAUR</id>
+ <part-class>chip</part-class>
+ <ec-level>DD1</ec-level>
+ <part-type>membuf</part-type>
+ <ecmd>yes</ecmd>
+ <chiplets>
+ <chiplet><id>mba01</id><target-name>mba</target-name><position>0</position>
+ <chiplet><id>port0</id></chiplet>
+ <chiplet><id>port1</id></chiplet>
+ <chiplet><id>port2</id></chiplet>
+ <chiplet><id>port3</id></chiplet>
+ </chiplet>
+ <chiplet><id>mba23</id><target-name>mba</target-name><position>1</position>
+ <chiplet><id>port0</id></chiplet>
+ <chiplet><id>port1</id></chiplet>
+ <chiplet><id>port2</id></chiplet>
+ <chiplet><id>port3</id></chiplet>
+ </chiplet>
+
+ <!-- L4 cache -->
+ <chiplet><id>L4</id><target-name>L4</target-name><position>0</position></chiplet>
+ </chiplets>
+ <units>
+ <i2c-master-units>
+ <i2c-master-unit>
+ <id>I2CMASTER_DIMMS0</id>
+ <engine>6</engine>
+ <port>0</port>
+ <pin-name>SDA_M0</pin-name>
+ <description>I2C Master for DIMMs</description>
+ </i2c-master-unit>
+ <i2c-master-unit>
+ <id>I2CSPR_DIMMS0</id>
+ <engine>6</engine>
+ <port>1</port>
+ <pin-name>SDA_M0</pin-name>
+ <description>I2C SPR for DIMMs</description>
+ </i2c-master-unit>
+ </i2c-master-units>
+ <fsi-slave-units>
+ <fsi-slave-unit><id>FSI_SLAVE0</id><port>0</port></fsi-slave-unit>
+ <fsi-slave-unit><id>FSI_SLAVE1</id><port>1</port></fsi-slave-unit>
+ </fsi-slave-units>
+ <gpio-units>
+ <gpio-unit><id>GPIO_PORT0</id><engine>7</engine><port>0</port></gpio-unit>
+ </gpio-units>
+ <ddr-master-units>
+ <ddr-master-unit><id>DDR3_CH0_SLOT0</id><port>0</port><slot>0</slot><chiplet-id>mba01</chiplet-id></ddr-master-unit>
+ <ddr-master-unit><id>DDR3_CH0_SLOT1</id><port>0</port><slot>1</slot><chiplet-id>mba01</chiplet-id></ddr-master-unit>
+ <ddr-master-unit><id>DDR3_CH1_SLOT0</id><port>0</port><slot>0</slot><chiplet-id>mba23</chiplet-id></ddr-master-unit>
+ <ddr-master-unit><id>DDR3_CH1_SLOT1</id><port>0</port><slot>1</slot><chiplet-id>mba23</chiplet-id></ddr-master-unit>
+ <ddr-master-unit><id>DDR3_CH2_SLOT0</id><port>1</port><slot>0</slot><chiplet-id>mba01</chiplet-id></ddr-master-unit>
+ <ddr-master-unit><id>DDR3_CH2_SLOT1</id><port>1</port><slot>1</slot><chiplet-id>mba01</chiplet-id></ddr-master-unit>
+ <ddr-master-unit><id>DDR3_CH3_SLOT0</id><port>1</port><slot>0</slot><chiplet-id>mba23</chiplet-id></ddr-master-unit>
+ <ddr-master-unit><id>DDR3_CH3_SLOT1</id><port>1</port><slot>1</slot><chiplet-id>mba23</chiplet-id></ddr-master-unit>
+ </ddr-master-units>
+ <dmi-slave-units>
+ <dmi-slave-unit><id>DMI</id></dmi-slave-unit>
+ </dmi-slave-units>
+ <power-input-units>
+ <power-input-unit>
+ <id>VMEM</id>
+ <pin-name>VMEM</pin-name>
+ <voltage voltage-units="Volts">1.35</voltage>
+ <current-nom current-units="Amps">10</current-nom>
+ <current-max current-units="Amps">12</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>VPP</id>
+ <pin-name>VPP</pin-name>
+ <voltage voltage-units="Volts">2.5</voltage>
+ <current-nom current-units="Amps">3</current-nom>
+ <current-max current-units="Amps">4</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>VCACHE</id>
+ <pin-name>VCACHE</pin-name>
+ <voltage voltage-units="Volts">1.035</voltage>
+ <current-nom current-units="Amps">18</current-nom>
+ <current-max current-units="Amps">21</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>VCORE</id>
+ <pin-name>VCORE</pin-name>
+ <voltage voltage-units="Volts">0.9</voltage>
+ <current-nom current-units="Amps">18</current-nom>
+ <current-max current-units="Amps">21</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>AVDD</id>
+ <pin-name>AVDD</pin-name>
+ <voltage voltage-units="Volts">0.9</voltage>
+ <current-nom current-units="Amps">18</current-nom>
+ <current-max current-units="Amps">21</current-max>
+ </power-input-unit>
+ </power-input-units>
+ </units>
+
+ <internal-units>
+
+ <!-- the CFAM Engines -->
+ <engine-units>
+ <engine-unit>
+ <id>shift</id> <!-- fsi_shift -->
+ <engine>3</engine>
+ </engine-unit>
+ <engine-unit>
+ <id>fsi2pib</id> <!-- fsi2pib -->
+ <engine>4</engine>
+ </engine-unit>
+ <engine-unit>
+ <id>scratchpad</id> <!-- scratchpad -->
+ <engine>5</engine>
+ </engine-unit>
+ <engine-unit>
+ <id>i2cm</id> <!-- fsi_i2cm (1 port) -->
+ <engine>6</engine>
+ </engine-unit>
+ <engine-unit>
+ <id>gpio</id> <!-- GPIO(0:1) -->
+ <engine>7</engine>
+ </engine-unit>
+ <engine-unit>
+ <id>fsi2pib2</id> <!-- fsi2pib2 (w/o GP regs) -->
+ <engine>8</engine>
+ </engine-unit>
+ </engine-units>
+
+ </internal-units>
+</part>
+
+</parts>
diff --git a/DDR3_DRAM_ABSTRACT.xml b/DDR3_DRAM_ABSTRACT.xml
new file mode 100644
index 0000000..e911169
--- /dev/null
+++ b/DDR3_DRAM_ABSTRACT.xml
@@ -0,0 +1,31 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/DDR3_DRAM_ABSTRACT.xml,v 1.1 2012-02-07 20:15:26 njames Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>DDR3_DRAM_ABSTRACT</id>
+ <part-class>chip</part-class>
+ <part-type>dram</part-type>
+ <units>
+ <ddr-slave-units>
+ <ddr-slave-unit>
+ <id>DDR_INTERFACE</id>
+ <pin-name>ddr_addr</pin-name>
+ </ddr-slave-unit>
+ </ddr-slave-units>
+ <power-units>
+ <power-unit>
+ <id>VDD</id>
+ <pin-name>VDD</pin-name>
+ <voltage voltage-units="Volts">1.35</voltage>
+ <current-nom current-units="Amps">lookup</current-nom>
+ <current-max current-units="Amps">lookup</current-max>
+ </power-unit>
+ </power-units>
+ </units>
+</part>
+
+</parts>
diff --git a/DIMM_SPD.xml b/DIMM_SPD.xml
new file mode 100644
index 0000000..55c552d
--- /dev/null
+++ b/DIMM_SPD.xml
@@ -0,0 +1,25 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/DIMM_SPD.xml,v 1.2 2012-04-11 18:30:16 spinler Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>DIMM_SPD</id>
+ <part-class>chip</part-class>
+ <part-type>seeprom</part-type>
+ <content-type>DIMM_VPD</content-type>
+ <vpd-size>24c32</vpd-size>
+ <units>
+ <i2c-slave-units>
+ <i2c-slave-unit>
+ <id>SVPD</id>
+ <pin-name>SDA</pin-name>
+ <fixed-address></fixed-address>
+ </i2c-slave-unit>
+ </i2c-slave-units>
+ </units>
+</part>
+
+</parts>
diff --git a/LANCER_B0.xml b/LANCER_B0.xml
new file mode 100644
index 0000000..b244124
--- /dev/null
+++ b/LANCER_B0.xml
@@ -0,0 +1,57 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/working/parts/LANCER_B0.xml,v 1.1 2012/02/07 20:15:25 njames Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>LANCER_B0</id>
+ <part-class>chip</part-class>
+ <part-type>ethernet</part-type>
+ <part-num>x0pxxxx</part-num>
+ <description>Emulex Lancer B0 - 4ports</description>
+ <units>
+ <pcie-endpoint-units>
+ <pcie-endpoint-unit><id>PCIE_X8</id><width>8</width></pcie-endpoint-unit>
+ </pcie-endpoint-units>
+ <xfi-master-units>
+ <xfi-master-unit><id>ETH0</id><port>0</port></xfi-master-unit>
+ <xfi-master-unit><id>ETH1</id><port>1</port></xfi-master-unit>
+ <xfi-master-unit><id>ETH2</id><port>2</port></xfi-master-unit>
+ <xfi-master-unit><id>ETH3</id><port>3</port></xfi-master-unit>
+ </xfi-master-units>
+ <power-input-units>
+ <power-input-unit>
+ <id>0.9V</id>
+ <pin-name>VCORE</pin-name>
+ <voltage voltage-units="Volts">0.9</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>1.2V</id>
+ <pin-name>VLOGIC</pin-name>
+ <voltage voltage-units="Volts">1.2</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>1.8V</id>
+ <pin-name>VIO</pin-name>
+ <voltage voltage-units="Volts">1.8</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>3.3V</id>
+ <pin-name>VCC</pin-name>
+ <voltage voltage-units="Volts">3.3</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ </power-input-units>
+ </units>
+</part>
+
+</parts>
diff --git a/MOD_SEEPROM_512.xml b/MOD_SEEPROM_512.xml
new file mode 100644
index 0000000..38e1fce
--- /dev/null
+++ b/MOD_SEEPROM_512.xml
@@ -0,0 +1,37 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/working/parts/MOD_SEEPROM_512.xml,v 1.2 2013/09/18 13:15:30 hlava Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>MOD_SEEPROM_512</id>
+ <part-class>chip</part-class>
+ <part-type>seeprom</part-type>
+ <part-num>77P8905</part-num>
+ <content-type>MODULE_VPD</content-type>
+ <vpd-size>24c512</vpd-size>
+ <units>
+ <i2c-slave-units>
+ <i2c-slave-unit>
+ <id>I2C</id>
+ <pin-name>SDA0</pin-name>
+ </i2c-slave-unit>
+ </i2c-slave-units>
+ <power-units>
+ <power-unit>
+ <id>VCC</id>
+ <pin-name>VCC</pin-name>
+ <voltage voltage-units="Volts">1.8</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-unit>
+ </power-units>
+ </units>
+ <seeprom-byte-address-offset></seeprom-byte-address-offset>
+ <seeprom-memory-size></seeprom-memory-size>
+ <seeprom-write-page-boundary></seeprom-write-page-boundary>
+</part>
+
+</parts>
diff --git a/PCA9538.xml b/PCA9538.xml
new file mode 100644
index 0000000..23a7745
--- /dev/null
+++ b/PCA9538.xml
@@ -0,0 +1,45 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/working/parts/PCA9538.xml,v 1.1 2013/07/09 16:51:38 spinler Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>PCA9538</id>
+ <part-class>chip</part-class>
+ <part-type>i2c-expander</part-type>
+ <part-num>x0pxxxx</part-num>
+ <description>I2C Expander</description>
+ <units>
+ <i2c-slave-units>
+ <i2c-slave-unit>
+ <id>I2C</id>
+ <pin-name>SDA</pin-name>
+ <fixed-address></fixed-address>
+ </i2c-slave-unit>
+ </i2c-slave-units>
+ <gpio-master-units>
+ <gpio-master-unit><id>IO0</id><port>0</port></gpio-master-unit>
+ <gpio-master-unit><id>IO1</id><port>1</port></gpio-master-unit>
+ <gpio-master-unit><id>IO2</id><port>2</port></gpio-master-unit>
+ <gpio-master-unit><id>IO3</id><port>3</port></gpio-master-unit>
+ <gpio-master-unit><id>IO4</id><port>4</port></gpio-master-unit>
+ <gpio-master-unit><id>IO5</id><port>5</port></gpio-master-unit>
+ <gpio-master-unit><id>IO6</id><port>6</port></gpio-master-unit>
+ <gpio-master-unit><id>IO7</id><port>7</port></gpio-master-unit>
+ <gpio-master-unit><id>INT</id><pin-name>INT</pin-name></gpio-master-unit>
+ </gpio-master-units>
+ <power-input-units>
+ <power-input-unit>
+ <id>3.3V</id>
+ <pin-name>VCC</pin-name>
+ <voltage voltage-units="Volts">3.3</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ </power-input-units>
+ </units>
+</part>
+
+</parts>
diff --git a/PCIE_X16_ABSTRACT.xml b/PCIE_X16_ABSTRACT.xml
new file mode 100644
index 0000000..694b147
--- /dev/null
+++ b/PCIE_X16_ABSTRACT.xml
@@ -0,0 +1,42 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/PCIE_X16_ABSTRACT.xml,v 1.1 2012-02-07 20:15:15 njames Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>PCIE_X16_ABSTRACT</id>
+ <part-class>blackbox</part-class>
+ <part-type>pcie-blackbox</part-type>
+ <units>
+ <i2c-slave-units>
+ <i2c-slave-unit>
+ <id>I2C</id>
+ <pin-name>SDA</pin-name>
+ <fixed-address></fixed-address>
+ </i2c-slave-unit>
+ </i2c-slave-units>
+ <pcie-endpoint-units>
+ <pcie-endpoint-unit><id>PCIE_X16</id><width>16</width></pcie-endpoint-unit>
+ </pcie-endpoint-units>
+ <power-input-units>
+ <power-input-unit>
+ <id>3.3V</id>
+ <pin-name>VCC</pin-name>
+ <voltage voltage-units="Volts">3.3</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>12V</id>
+ <pin-name>12V</pin-name>
+ <voltage voltage-units="Volts">12</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ </power-input-units>
+ </units>
+</part>
+
+</parts>
diff --git a/PCIE_X8_ABSTRACT.xml b/PCIE_X8_ABSTRACT.xml
new file mode 100644
index 0000000..559e339
--- /dev/null
+++ b/PCIE_X8_ABSTRACT.xml
@@ -0,0 +1,42 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/PCIE_X8_ABSTRACT.xml,v 1.1 2012-02-07 20:15:25 njames Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>PCIE_X8_ABSTRACT</id>
+ <part-class>blackbox</part-class>
+ <part-type>pcie-blackbox</part-type>
+ <units>
+ <i2c-slave-units>
+ <i2c-slave-unit>
+ <id>I2C</id>
+ <pin-name>SDA</pin-name>
+ <fixed-address></fixed-address>
+ </i2c-slave-unit>
+ </i2c-slave-units>
+ <pcie-endpoint-units>
+ <pcie-endpoint-unit><id>PCIE_X8</id><width>8</width></pcie-endpoint-unit>
+ </pcie-endpoint-units>
+ <power-input-units>
+ <power-input-unit>
+ <id>3.3V</id>
+ <pin-name>VCC</pin-name>
+ <voltage voltage-units="Volts">3.3</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>12V</id>
+ <pin-name>12V</pin-name>
+ <voltage voltage-units="Volts">12</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ </power-input-units>
+ </units>
+</part>
+
+</parts>
diff --git a/PEX8718_PALMETTO.xml b/PEX8718_PALMETTO.xml
new file mode 100644
index 0000000..6f8d82f
--- /dev/null
+++ b/PEX8718_PALMETTO.xml
@@ -0,0 +1,50 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/working/parts/PEX8718_PALMETTO.xml,v 1.1 2014/03/25 00:50:54 njames Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>PEX8718_PALMETTO</id>
+ <part-class>chip</part-class>
+ <part-type>pcie-switch</part-type>
+ <part-num>x0pxxxx</part-num>
+ <description>PLX 18 lane PCIe Gen3 Switch</description>
+ <units>
+ <i2c-slave-units>
+ <i2c-slave-unit><id>I2C</id><pin-name>SDA</pin-name></i2c-slave-unit>
+ </i2c-slave-units>
+ <pcie-upstream-bridges>
+ <pcie-upstream-bridge><id>INBOUND_X8</id><direction>OUT</direction><width>8</width><station>0</station><port>0</port></pcie-upstream-bridge>
+ </pcie-upstream-bridges>
+ <gpio-slave-units>
+ <gpio-slave-unit><id>RESET</id><pin-name>RESET</pin-name></gpio-slave-unit>
+ </gpio-slave-units>
+ <pcie-downstream-bridges>
+ <pcie-downstream-bridge><id>S0_X2</id><direction>IN</direction><width>2</width><station>0</station><port>0</port></pcie-downstream-bridge>
+ <pcie-downstream-bridge><id>S1_X2</id><direction>IN</direction><width>2</width><station>1</station><port>1</port></pcie-downstream-bridge>
+ <pcie-downstream-bridge><id>S2_X1</id><direction>IN</direction><width>1</width><station>2</station><port>2</port></pcie-downstream-bridge>
+ <pcie-downstream-bridge><id>S3_X1</id><direction>IN</direction><width>1</width><station>3</station><port>3</port></pcie-downstream-bridge>
+ </pcie-downstream-bridges>
+ <power-input-units>
+ <power-input-unit>
+ <id>0.9V</id>
+ <pin-name>VLOGIC</pin-name>
+ <voltage voltage-units="Volts">0.9</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>1.8V</id>
+ <pin-name>VCC</pin-name>
+ <voltage voltage-units="Volts">1.8</voltage>
+ <!-- ahxx: removed extra "t>" at end of line -->
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ </power-input-units>
+ </units>
+</part>
+
+</parts>
diff --git a/PGOOD_LAYERBRIDGE.xml b/PGOOD_LAYERBRIDGE.xml
new file mode 100644
index 0000000..e81fe3c
--- /dev/null
+++ b/PGOOD_LAYERBRIDGE.xml
@@ -0,0 +1,19 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/PGOOD_LAYERBRIDGE.xml,v 1.2 2012-08-01 20:01:40 drcampbe Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw">
+ <part>
+ <!-- This part is used purely in ServerWiz to connect GPIO pins in the GPIO layer to the PGOOD pins in the Power layer -->
+ <id>PGOOD_LAYERBRIDGE</id>
+ <part-class>layer-bridge</part-class>
+ <units>
+ <gpio-slave-units>
+ <gpio-slave-unit><id>GPIO</id></gpio-slave-unit>
+ </gpio-slave-units>
+ <pgood-master-units>
+ <pgood-master-unit><id>PGOOD</id></pgood-master-unit>
+ </pgood-master-units>
+ </units>
+ </part>
+</parts> \ No newline at end of file
diff --git a/README b/README
new file mode 100644
index 0000000..e496c49
--- /dev/null
+++ b/README
@@ -0,0 +1,3 @@
+
+XML files common across systems. These are used as input
+in to the MRW generation tools. \ No newline at end of file
diff --git a/TULETA_FSP2.xml b/TULETA_FSP2.xml
new file mode 100644
index 0000000..f484067
--- /dev/null
+++ b/TULETA_FSP2.xml
@@ -0,0 +1,2285 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/TULETA_FSP2.xml,v 1.23 2013/08/01 03:07:15 adamtin Exp $ -->
+<!-- Generated from Tuleta_FSP_IO_rev16_c.csv -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+<id>TULETA_FSP2</id>
+<part-class>chip</part-class>
+<part-type>fsp</part-type>
+<part-num></part-num>
+<units>
+ <ethernet-units>
+ <ethernet-unit><id>eth0_rxd_0_R03</id><pin-name>R03</pin-name><engine>0</engine></ethernet-unit>
+ <ethernet-unit><id>eth1_rxd_0_N02</id><pin-name>N02</pin-name><engine>0</engine></ethernet-unit>
+ <ethernet-unit><id>eth_mdc_P08</id><pin-name>P08</pin-name><engine>0</engine></ethernet-unit>
+ </ethernet-units>
+ <fsi-master-units>
+ <fsi-master-unit><id>fsim0_clk_AH03</id><pin-name>AH03</pin-name></fsi-master-unit>
+ <fsi-master-unit><id>fsim1_clk_AH02</id><pin-name>AH02</pin-name></fsi-master-unit>
+ <fsi-master-unit><id>FSIM_CLK[2]</id><pin-name>AG19</pin-name></fsi-master-unit>
+ <fsi-master-unit><id>FSIM_CLK[3]</id><pin-name>AF19</pin-name></fsi-master-unit>
+ </fsi-master-units>
+ <fsi-slave-units>
+ <fsi-slave-unit><id>fsis0_clk_AG22</id><pin-name>AG22</pin-name></fsi-slave-unit>
+ <fsi-slave-unit><id>fsis1_clk_AF21</id><pin-name>AF21</pin-name></fsi-slave-unit>
+ </fsi-slave-units>
+ <gpio-master-units>
+ <gpio-master-unit><id>fsp_boot_fail_oi_n_K02</id><pin-name>K02</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>fsp_spinor_rst_n_M07</id><pin-name>M07</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>fsp2_dpss_din_L07</id><pin-name>L07</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>fsp2_dpss_cclk_L08</id><pin-name>L08</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>fsp2_chip_rst_n_B28</id><pin-name>B28</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>tod_battery_test_J22</id><pin-name>J22</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>ps_ffs_sync_n_J26</id><pin-name>J26</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>apps_reset_n_J25</id><pin-name>J25</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>dpss_prog_n_K21</id><pin-name>K21</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>dpss_sys_rst_n_L23</id><pin-name>L23</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>apss_boot_mode_M22</id><pin-name>M22</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>dpss_unlatch_n_L26</id><pin-name>L26</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>ps_i2c_rst_n_M24</id><pin-name>M24</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>cpu_spi_nor_rst_n_W27</id><pin-name>W27</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>buddy_rst_out_n_W26</id><pin-name>W26</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>fsp2_i2c_lightpath_reset_n_W24</id><pin-name>W24</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>cm3_si5338_oe_n_V24</id><pin-name>V24</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>cm2_si5335b_oeb2_n_V23</id><pin-name>V23</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>cm2_si5335b_oeb3_n_U22</id><pin-name>U22</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>system_pg_pex8732_AF28</id><pin-name>AF28</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>system_pg_pex8748_AE27</id><pin-name>AE27</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>system_pg_dcm_AE26</id><pin-name>AE26</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>cpu0_cfam_reset_n_AC20</id><pin-name>AC20</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>pex_i2c_smbus_cfg_en_n_AD18</id><pin-name>AD18</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>fsp2_pex32_perst_n_AD19</id><pin-name>AD19</pin-name></gpio-master-unit>
+ <gpio-master-unit><id>fsp2_pex48_perst_n_AC18</id><pin-name>AC18</pin-name></gpio-master-unit>
+ </gpio-master-units>
+ <gpio-slave-units>
+ <gpio-slave-unit><id>irq0_eth0_n_K03</id><pin-name>K03</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>irq1_eth1_n_K05</id><pin-name>K05</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>irq2_tpm_n_H01</id><pin-name>H01</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>irq3_psi_n_J01</id><pin-name>J01</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>fsp_pp_state_L05</id><pin-name>L05</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>irq5_rtc_n_M06</id><pin-name>M06</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>irq4_ps_int_n_L06</id><pin-name>L06</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>ps1_presence_K06</id><pin-name>K06</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>ps2_presence_M09</id><pin-name>M09</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>ps3_presence_M10</id><pin-name>M10</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>ps4_presence_K09</id><pin-name>K09</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>dpss_done_L10</id><pin-name>L10</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>DASD_pca9554_int_n_J23</id><pin-name>J23</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>tod_battery_low_n_H28</id><pin-name>H28</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>dpss_init_n_L22</id><pin-name>L22</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>dpss_i2c_int_n_M23</id><pin-name>M23</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>cpu_pp_state_Y26</id><pin-name>Y26</pin-name></gpio-slave-unit>
+ <gpio-slave-unit><id>dpss_pgood_cpu_T21</id><pin-name>T21</pin-name></gpio-slave-unit>
+ </gpio-slave-units>
+ <i2c-master-units>
+ <i2c-master-unit><id>i2c0_scl_H02</id><pin-name>H02</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>i2c1_scl_H04</id><pin-name>H04</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>i2c2_scl_J06</id><pin-name>J06</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>i2c3_scl_H06</id><pin-name>H06</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>i2c4_scl_K08</id><pin-name>K08</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>iou_i2c0_scl_V28</id><pin-name>V28</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[10]</id><pin-name>Y28</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[1]</id><pin-name>AA26</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[2]</id><pin-name>W23</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[3]</id><pin-name>V21</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[4]</id><pin-name>AA28</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[5]</id><pin-name>AB26</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[6]</id><pin-name>Y23</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[7]</id><pin-name>W21</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[8]</id><pin-name>AD28</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2C_SCL[9]</id><pin-name>AD27</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2CMD2_SCL</id><pin-name>AC25</pin-name></i2c-master-unit>
+ <i2c-master-unit><id>I2CMD1_SCL</id><pin-name>AA24</pin-name></i2c-master-unit>
+ </i2c-master-units>
+ <led-driver-units>
+ <led-driver-unit><id>led_yellow_planar_E28</id><pin-name>E28</pin-name></led-driver-unit>
+ <led-driver-unit><id>led_green_network_reset_D27</id><pin-name>D27</pin-name></led-driver-unit>
+ <led-driver-unit><id>led_pcie_slot_c2_yel_E26</id><pin-name>E26</pin-name></led-driver-unit>
+ <led-driver-unit><id>led_yellow_tod_battery_F28</id><pin-name>F28</pin-name></led-driver-unit>
+ <led-driver-unit><id>led_yellow_dcm1_F27</id><pin-name>F27</pin-name></led-driver-unit>
+ <led-driver-unit><id>led_yellow_dcm2_F26</id><pin-name>F26</pin-name></led-driver-unit>
+ <led-driver-unit><id>led_blue_id_F25</id><pin-name>F25</pin-name></led-driver-unit>
+ <led-driver-unit><id>led_yellow_sysinfo_F24</id><pin-name>F24</pin-name></led-driver-unit>
+ <led-driver-unit><id>led_pcie_slot_c3_yel_H26</id><pin-name>H26</pin-name></led-driver-unit>
+ </led-driver-units>
+ <presence-master-units>
+ <presence-master-unit><id>oppanel_presence_n_C28</id><pin-name>C28</pin-name></presence-master-unit>
+ <presence-master-unit><id>storage_backplane_presence_n_C27</id><pin-name>C27</pin-name></presence-master-unit>
+ <presence-master-unit><id>native_iocard_presence_n_D26</id><pin-name>D26</pin-name></presence-master-unit>
+ <presence-master-unit><id>fengjia_c3_presence_n_G27</id><pin-name>G27</pin-name></presence-master-unit>
+ <presence-master-unit><id>fengjia_c5_presence_n_G26</id><pin-name>G26</pin-name></presence-master-unit>
+ <presence-master-unit><id>fengjia_c6_presence_n_H25</id><pin-name>H25</pin-name></presence-master-unit>
+ <presence-master-unit><id>fengjia_c7_presence_n_G24</id><pin-name>G24</pin-name></presence-master-unit>
+ <presence-master-unit><id>network_switch_reset_n_H23</id><pin-name>H23</pin-name></presence-master-unit>
+ </presence-master-units>
+ <psi-units>
+ <psi-unit><id>psi0_cp_fsp_clk_p_AC04</id><pin-name>AC04</pin-name><engine>0</engine></psi-unit>
+ <psi-unit><id>psi1_cp_fsp_clk_p_AB05</id><pin-name>AB05</pin-name><engine>1</engine></psi-unit>
+ <psi-unit><id>psi2_cp_fsp_clk_p_Y07</id><pin-name>Y07</pin-name><engine>2</engine></psi-unit>
+ <psi-unit><id>psi3_cp_fsp_clk_p_W08</id><pin-name>W08</pin-name><engine>3</engine></psi-unit>
+ </psi-units>
+ <s16550-master-units>
+ <s16550-master-unit><id>U750_RX[0]</id><pin-name>AA22</pin-name></s16550-master-unit>
+ <s16550-master-unit><id>U750_DCD[1]</id><pin-name>AF26</pin-name></s16550-master-unit>
+ <s16550-master-unit><id>U750_RX[1]</id><pin-name>AC23</pin-name></s16550-master-unit>
+ </s16550-master-units>
+ <sc-master-units>
+ <sc-master-unit><id>SC_CLK[0]</id><pin-name>J28</pin-name></sc-master-unit>
+ <sc-master-unit><id>SC_CLK[1]</id><pin-name>K26</pin-name></sc-master-unit>
+ </sc-master-units>
+ <usb-master-units>
+ <usb-master-unit><id>usb0_clk_A09</id><pin-name>A09</pin-name></usb-master-unit>
+ <usb-master-unit><id>usb1_clk_A06</id><pin-name>A06</pin-name></usb-master-unit>
+ </usb-master-units>
+ <power-input-units>
+ <power-input-unit><id>1.2V</id><pin-name>1.2V</pin-name>
+ <voltage voltage-units="Volts">1.2</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit><id>1.0V</id><pin-name>1.0V</pin-name>
+ <voltage voltage-units="Volts">1.0</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit><id>1.35V</id><pin-name>1.35V</pin-name>
+ <voltage voltage-units="Volts">1.35</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit><id>1.8V</id><pin-name>1.8V</pin-name>
+ <voltage voltage-units="Volts">1.8</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit><id>3.3V</id><pin-name>3.3V</pin-name>
+ <voltage voltage-units="Volts">3.3</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ </power-input-units>
+</units>
+<dio-configs>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsp_boot_fail_oi_n_K02</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>00</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>irq0_eth0_n_K03</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>01</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>irq1_eth1_n_K05</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>02</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>irq2_tpm_n_H01</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>03</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>irq3_psi_n_J01</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>04</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsp_pp_state_L05</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>05</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>irq5_rtc_n_M06</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>06</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsp_spinor_rst_n_M07</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>07</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsp2_dpss_din_L07</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>08</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsp2_dpss_cclk_L08</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>09</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>irq4_ps_int_n_L06</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>10</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>ps1_presence_K06</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>11</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>ps2_presence_M09</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>12</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>ps3_presence_M10</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>13</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>ps4_presence_K09</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>14</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>dpss_done_L10</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>15</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c0_scl_H02</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c0_sda_H03</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c1_scl_H04</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>1</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c1_sda_H05</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>1</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c2_scl_J06</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>2</engine>
+ <port>0</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c2_sda_J07</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>2</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c3_scl_H06</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>3</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c3_sda_G06</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>3</engine>
+ <port>0</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c4_scl_K08</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>4</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>i2c4_sda_J09</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>4</engine>
+ <port>0</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>## Ethernet Ref Clock input from PHY_NONIOU</unit-name>
+ <type></type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>## Ethernet PHY reset outputs tbd_NONIOU</unit-name>
+ <type></type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name># DDR3/4_NONIOU</unit-name>
+ <type></type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>ddr_ddrvref0_L19</unit-name>
+ <type></type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>ddr_ddrvref1_L20</unit-name>
+ <type></type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>ddr_cal_g_H10</unit-name>
+ <type></type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>ddr_cal_r_H11</unit-name>
+ <type></type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_clk_A09</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dat_0_C10</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dat_1_D09</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dat_2_C09</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dat_3_A08</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dat_4_B08</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dat_5_C08</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dat_6_D08</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dat_7_E08</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_stp_G09</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_dir_F08</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_nxt_G08</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb0_reset_n_J10</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_clk_A06</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dat_0_B07</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dat_1_C07</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dat_2_C06</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dat_3_A05</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dat_4_B05</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dat_5_C05</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dat_6_B04</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dat_7_C04</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_stp_A03</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_dir_E07</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_nxt_D05</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>usb1_reset_n_D06</unit-name>
+ <type>usb-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsim0_clk_AH03</unit-name>
+ <type>fsi-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsim0_dio_AH05</unit-name>
+ <type>fsi-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction>bidi</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsim1_clk_AH02</unit-name>
+ <type>fsi-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>1</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsim1_dio_AG04</unit-name>
+ <type>fsi-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>1</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsis0_clk_AG22</unit-name>
+ <type>fsi-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsis0_dio_AH20</unit-name>
+ <type>fsi-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsis1_clk_AF21</unit-name>
+ <type>fsi-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>1</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>fsis1_dio_AH21</unit-name>
+ <type>fsi-slave-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>0</engine>
+ <port>1</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>iou_i2c0_scl_V28</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>14</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>-1</id>
+ <unit-name>iou_i2c0_sda_V27</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group></mux-group>
+ <mux-select></mux-select>
+ <engine>14</engine>
+ <port>0</port>
+ <direction></direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>00</id>
+ <unit-name>fsp2_chip_rst_n_B28</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>00</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>01</id>
+ <unit-name>oppanel_presence_n_C28</unit-name>
+ <type>presence-master-unit</type>
+ <mux-group>00</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>1</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>02</id>
+ <unit-name>storage_backplane_presence_n_C27</unit-name>
+ <type>presence-master-unit</type>
+ <mux-group>00</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>2</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>03</id>
+ <unit-name>native_iocard_presence_n_D26</unit-name>
+ <type>presence-master-unit</type>
+ <mux-group>00</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>3</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>04</id>
+ <unit-name>led_yellow_planar_E28</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>01</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>4</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>05</id>
+ <unit-name>led_green_network_reset_D27</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>01</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>5</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>06</id>
+ <unit-name>led_pcie_slot_c2_yel_E26</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>01</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>6</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>08</id>
+ <unit-name>led_yellow_tod_battery_F28</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>02</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>8</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>09</id>
+ <unit-name>led_yellow_dcm1_F27</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>02</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>9</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>10</id>
+ <unit-name>led_yellow_dcm2_F26</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>02</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>10</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>11</id>
+ <unit-name>led_blue_id_F25</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>02</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>11</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>12</id>
+ <unit-name>fengjia_c3_presence_n_G27</unit-name>
+ <type>presence-master-unit</type>
+ <mux-group>03</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>12</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>13</id>
+ <unit-name>fengjia_c5_presence_n_G26</unit-name>
+ <type>presence-master-unit</type>
+ <mux-group>03</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>13</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>14</id>
+ <unit-name>fengjia_c6_presence_n_H25</unit-name>
+ <type>presence-master-unit</type>
+ <mux-group>03</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>14</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>15</id>
+ <unit-name>fengjia_c7_presence_n_G24</unit-name>
+ <type>presence-master-unit</type>
+ <mux-group>03</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>15</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>16</id>
+ <unit-name>DASD_pca9554_int_n_J23</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group>04</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>16</port>
+ <direction>input</direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>17</id>
+ <unit-name>network_switch_reset_n_H23</unit-name>
+ <type>presence-master-unit</type>
+ <mux-group>04</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>17</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>18</id>
+ <unit-name>led_yellow_sysinfo_F24</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>04</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>18</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>19</id>
+ <unit-name>tod_battery_test_J22</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>04</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>19</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>20</id>
+ <unit-name>tod_battery_low_n_H28</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group>05</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>20</port>
+ <direction>input</direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>21</id>
+ <unit-name>led_pcie_slot_c3_yel_H26</unit-name>
+ <type>led-driver-unit</type>
+ <mux-group>05</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>21</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>22</id>
+ <unit-name>ps_ffs_sync_n_J26</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>05</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>22</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>23</id>
+ <unit-name>apps_reset_n_J25</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>05</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>23</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>27</id>
+ <unit-name>dpss_init_n_L22</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group>06</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>27</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>28</id>
+ <unit-name>dpss_prog_n_K21</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>07</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>28</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>29</id>
+ <unit-name>dpss_sys_rst_n_L23</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>07</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>29</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>30</id>
+ <unit-name>dpss_i2c_int_n_M23</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group>07</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>30</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>31</id>
+ <unit-name>apss_boot_mode_M22</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>07</mux-group>
+ <mux-select>0</mux-select>
+ <engine>16</engine>
+ <port>31</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>32</id>
+ <unit-name>SC_CLK[0]</unit-name>
+ <type>sc-master-unit</type>
+ <mux-group>08</mux-group>
+ <mux-select>4</mux-select>
+ <engine>15</engine>
+ <port>24</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>33</id>
+ <unit-name>SC_DIO[0]</unit-name>
+ <type>sc-master-unit</type>
+ <mux-group>08</mux-group>
+ <mux-select>4</mux-select>
+ <engine>15</engine>
+ <port>24</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>34</id>
+ <unit-name>SC_RST[0]</unit-name>
+ <type>sc-master-unit</type>
+ <mux-group>08</mux-group>
+ <mux-select>4</mux-select>
+ <engine>15</engine>
+ <port>24</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>35</id>
+ <unit-name>dpss_unlatch_n_L26</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>08</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>35</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>36</id>
+ <unit-name>SC_CLK[1]</unit-name>
+ <type>sc-master-unit</type>
+ <mux-group>09</mux-group>
+ <mux-select>4</mux-select>
+ <engine>15</engine>
+ <port>25</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>37</id>
+ <unit-name>SC_DIO[1]</unit-name>
+ <type>sc-master-unit</type>
+ <mux-group>09</mux-group>
+ <mux-select>4</mux-select>
+ <engine>15</engine>
+ <port>25</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>38</id>
+ <unit-name>SC_RST[1]</unit-name>
+ <type>sc-master-unit</type>
+ <mux-group>09</mux-group>
+ <mux-select>4</mux-select>
+ <engine>15</engine>
+ <port>25</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>39</id>
+ <unit-name>ps_i2c_rst_n_M24</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>09</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>39</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>40</id>
+ <unit-name>cpu_spi_nor_rst_n_W27</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>10</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>40</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>41</id>
+ <unit-name>cpu_pp_state_Y26</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group>10</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>41</port>
+ <direction>input</direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>42</id>
+ <unit-name>buddy_rst_out_n_W26</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>10</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>42</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>43</id>
+ <unit-name>fsp2_i2c_lightpath_reset_n_W24</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>10</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>43</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>44</id>
+ <unit-name>cm3_si5338_oe_n_V24</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>11</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>44</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>45</id>
+ <unit-name>cm2_si5335b_oeb2_n_V23</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>11</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>45</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>46</id>
+ <unit-name>cm2_si5335b_oeb3_n_U22</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>11</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>46</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>0</por-value>
+ </dio-config>
+ <dio-config>
+ <id>47</id>
+ <unit-name>dpss_pgood_cpu_T21</unit-name>
+ <type>gpio-slave-unit</type>
+ <mux-group>11</mux-group>
+ <mux-select>0</mux-select>
+ <engine>17</engine>
+ <port>47</port>
+ <direction>input</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>48</id>
+ <unit-name>I2C_SCL[10]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>12</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>10</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>49</id>
+ <unit-name>I2C_SDA[10]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>12</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>10</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>50</id>
+ <unit-name>I2C_SCL[1]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>12</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>1</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>51</id>
+ <unit-name>I2C_SDA[1]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>12</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>1</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>52</id>
+ <unit-name>I2C_SCL[2]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>13</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>2</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>53</id>
+ <unit-name>I2C_SDA[2]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>13</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>2</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>54</id>
+ <unit-name>I2C_SCL[3]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>13</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>3</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>55</id>
+ <unit-name>I2C_SDA[3]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>13</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>3</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>56</id>
+ <unit-name>I2C_SCL[4]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>14</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>4</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>57</id>
+ <unit-name>I2C_SDA[4]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>14</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>4</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>58</id>
+ <unit-name>I2C_SCL[5]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>14</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>5</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>59</id>
+ <unit-name>I2C_SDA[5]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>14</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>5</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>60</id>
+ <unit-name>I2C_SCL[6]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>15</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>6</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>61</id>
+ <unit-name>I2C_SDA[6]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>15</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>6</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>62</id>
+ <unit-name>I2C_SCL[7]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>15</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>7</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>63</id>
+ <unit-name>I2C_SDA[7]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>15</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>7</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>64</id>
+ <unit-name>I2C_SCL[8]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>16</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>8</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>65</id>
+ <unit-name>I2C_SDA[8]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>16</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>8</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>66</id>
+ <unit-name>I2C_SCL[9]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>16</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>9</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>67</id>
+ <unit-name>I2C_SDA[9]</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>16</mux-group>
+ <mux-select>1</mux-select>
+ <engine>14</engine>
+ <port>9</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>68</id>
+ <unit-name>I2CMD2_SCL</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>17</mux-group>
+ <mux-select>1</mux-select>
+ <engine>9</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>69</id>
+ <unit-name>I2CMD2_SDA</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>17</mux-group>
+ <mux-select>1</mux-select>
+ <engine>9</engine>
+ <port>0</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>70</id>
+ <unit-name>I2CMD1_SCL</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>17</mux-group>
+ <mux-select>1</mux-select>
+ <engine>8</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>71</id>
+ <unit-name>I2CMD1_SDA</unit-name>
+ <type>i2c-master-unit</type>
+ <mux-group>17</mux-group>
+ <mux-select>1</mux-select>
+ <engine>8</engine>
+ <port>0</port>
+ <direction>bidi</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>72</id>
+ <unit-name>system_pg_pex8732_AF28</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>18</mux-group>
+ <mux-select>0</mux-select>
+ <engine>18</engine>
+ <port>72</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>73</id>
+ <unit-name>system_pg_pex8748_AE27</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>18</mux-group>
+ <mux-select>0</mux-select>
+ <engine>18</engine>
+ <port>73</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>74</id>
+ <unit-name>system_pg_dcm_AE26</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>18</mux-group>
+ <mux-select>0</mux-select>
+ <engine>18</engine>
+ <port>74</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>78</id>
+ <unit-name>U750_RX[0]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>19</mux-group>
+ <mux-select>5</mux-select>
+ <engine>4</engine>
+ <port>0</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>79</id>
+ <unit-name>U750_TX[0]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>19</mux-group>
+ <mux-select>5</mux-select>
+ <engine>4</engine>
+ <port>0</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>80</id>
+ <unit-name>U750_DSR[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>20</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>81</id>
+ <unit-name>U750_DTR[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>20</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>82</id>
+ <unit-name>U750_DCD[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>20</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>83</id>
+ <unit-name>U750_RI[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>20</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>84</id>
+ <unit-name>U750_RTS[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>21</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>85</id>
+ <unit-name>U750_CTS[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>21</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>86</id>
+ <unit-name>U750_RX[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>21</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>input</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>87</id>
+ <unit-name>U750_TX[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>21</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>88</id>
+ <unit-name>U750_RX[1]</unit-name>
+ <type>s16550-master-unit</type>
+ <mux-group>22</mux-group>
+ <mux-select>5</mux-select>
+ <engine>5</engine>
+ <port>1</port>
+ <direction>input</direction>
+ <driver-type></driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>96</id>
+ <unit-name>FSIM_CLK[2]</unit-name>
+ <type>fsi-master-unit</type>
+ <mux-group>24</mux-group>
+ <mux-select>1</mux-select>
+ <engine>0</engine>
+ <port>2</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>97</id>
+ <unit-name>FSIM_DIO[2]</unit-name>
+ <type>fsi-master-unit</type>
+ <mux-group>24</mux-group>
+ <mux-select>1</mux-select>
+ <engine>0</engine>
+ <port>2</port>
+ <direction>bidi</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>98</id>
+ <unit-name>FSIM_CLK[3]</unit-name>
+ <type>fsi-master-unit</type>
+ <mux-group>24</mux-group>
+ <mux-select>1</mux-select>
+ <engine>0</engine>
+ <port>3</port>
+ <direction>output</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>99</id>
+ <unit-name>FSIM_DIO[3]</unit-name>
+ <type>fsi-master-unit</type>
+ <mux-group>24</mux-group>
+ <mux-select>1</mux-select>
+ <engine>0</engine>
+ <port>3</port>
+ <direction>bidi</direction>
+ <driver-type>push-pull</driver-type>
+ <mode-control>0</mode-control>
+ <por-value></por-value>
+ </dio-config>
+ <dio-config>
+ <id>100</id>
+ <unit-name>cpu0_cfam_reset_n_AC20</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>25</mux-group>
+ <mux-select>0</mux-select>
+ <engine>19</engine>
+ <port>100</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>0</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>101</id>
+ <unit-name>pex_i2c_smbus_cfg_en_n_AD18</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>25</mux-group>
+ <mux-select>0</mux-select>
+ <engine>19</engine>
+ <port>101</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>1</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>102</id>
+ <unit-name>fsp2_pex32_perst_n_AD19</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>25</mux-group>
+ <mux-select>0</mux-select>
+ <engine>19</engine>
+ <port>102</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>1</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+ <dio-config>
+ <id>103</id>
+ <unit-name>fsp2_pex48_perst_n_AC18</unit-name>
+ <type>gpio-master-unit</type>
+ <mux-group>25</mux-group>
+ <mux-select>0</mux-select>
+ <engine>19</engine>
+ <port>103</port>
+ <direction>output</direction>
+ <driver-type>open-drain</driver-type>
+ <mode-control>1</mode-control>
+ <por-value>1</por-value>
+ </dio-config>
+</dio-configs>
+
+
+<internal-units>
+<dram-units>
+<dram-unit>
+<id>DRAM0</id>
+<dram-type>DDR3</dram-type>
+<dram-size storage-units="GBit">4</dram-size>
+</dram-unit>
+<dram-unit>
+<id>DRAM1</id>
+<dram-type>DDR3</dram-type>
+<dram-size storage-units="GBit">4</dram-size>
+</dram-unit>
+<dram-unit>
+<id>DRAM2</id>
+<dram-type>DDR3</dram-type>
+<dram-size storage-units="GBit">4</dram-size>
+</dram-unit>
+</dram-units>
+<flash-units>
+<flash-unit>
+<id>NAND0</id>
+<flash-type>NAND</flash-type>
+<flash-size storage-units="GByte">4</flash-size>
+<flash-interface>eMMC</flash-interface>
+</flash-unit>
+<flash-unit>
+<id>INOR0</id>
+<flash-type>NOR</flash-type>
+<flash-size storage-units="MByte">64</flash-size>
+<flash-interface>SPI</flash-interface>
+</flash-unit>
+<flash-unit>
+<id>PNOR0</id>
+<flash-type>NOR</flash-type>
+<flash-size storage-units="MByte">64</flash-size>
+<flash-interface>SPI-FPGA-CFAM</flash-interface>
+</flash-unit>
+</flash-units>
+<nvram-units>
+<nvram-unit>
+<id>NVRAM0</id>
+<nvram-size storage-units="KByte">128</nvram-size>
+</nvram-unit>
+</nvram-units>
+</internal-units>
+
+
+</part>
+</parts> \ No newline at end of file
diff --git a/TUSB7340.xml b/TUSB7340.xml
new file mode 100644
index 0000000..1a25548
--- /dev/null
+++ b/TUSB7340.xml
@@ -0,0 +1,53 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/TUSB7340.xml,v 1.3 2012-07-27 07:42:59 adamtin Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>TUSB7340</id>
+ <part-class>chip</part-class>
+ <part-type>usb</part-type>
+ <part-num>x0pxxxx</part-num>
+ <description>TI USB3.0 4-port</description>
+ <units>
+ <pcie-endpoint-units>
+ <pcie-endpoint-unit><id>PCIE_X1</id><width>1</width></pcie-endpoint-unit>
+ </pcie-endpoint-units>
+ <usb-master-units>
+ <usb-master-unit><id>USB0</id><port>0</port><version>3.0</version></usb-master-unit>
+ <usb-master-unit><id>USB1</id><port>0</port><version>3.0</version></usb-master-unit>
+ <usb-master-unit><id>USB2</id><port>0</port><version>3.0</version></usb-master-unit>
+ <usb-master-unit><id>USB3</id><port>0</port><version>3.0</version></usb-master-unit>
+ </usb-master-units>
+ <gpio-slave-units>
+ <gpio-slave-unit><id>RESET</id><pin-name>RESET</pin-name></gpio-slave-unit>
+ </gpio-slave-units>
+ <power-input-units>
+ <power-input-unit>
+ <id>1.05V</id>
+ <pin-name>VDD11</pin-name>
+ <voltage voltage-units="Volts">1.05</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>3.3V1</id>
+ <pin-name>VDD33</pin-name>
+ <voltage voltage-units="Volts">3.3</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ <power-input-unit>
+ <id>3.3V2</id>
+ <pin-name>VDDA33</pin-name>
+ <voltage voltage-units="Volts">3.3</voltage>
+ <current-nom current-units="Amps">0.01</current-nom>
+ <current-max current-units="Amps">0.02</current-max>
+ </power-input-unit>
+ </power-input-units>
+ </units>
+</part>
+
+</parts>
diff --git a/VENICE.xml b/VENICE.xml
new file mode 100644
index 0000000..705f670
--- /dev/null
+++ b/VENICE.xml
@@ -0,0 +1,323 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/working/parts/VENICE.xml,v 1.22 2014/01/16 12:31:49 hlava Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>VENICE</id>
+ <part-class>chip</part-class>
+ <ec-level>DD1</ec-level>
+ <part-type>cpu</part-type>
+ <processor-family>IBM Power8</processor-family>
+ <ecmd>yes</ecmd>
+ <chiplets>
+ <chiplet><id>ex1</id><target-name>ex</target-name><position>1</position>
+ <chiplet><id>core1</id><target-name>core</target-name><position>1</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex2</id><target-name>ex</target-name><position>2</position>
+ <chiplet><id>core2</id><target-name>core</target-name><position>2</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex3</id><target-name>ex</target-name><position>3</position>
+ <chiplet><id>core3</id><target-name>core</target-name><position>3</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex4</id><target-name>ex</target-name><position>4</position>
+ <chiplet><id>core4</id><target-name>core</target-name><position>4</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex5</id><target-name>ex</target-name><position>5</position>
+ <chiplet><id>core5</id><target-name>core</target-name><position>5</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex6</id><target-name>ex</target-name><position>6</position>
+ <chiplet><id>core6</id><target-name>core</target-name><position>6</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex9</id><target-name>ex</target-name><position>9</position>
+ <chiplet><id>core9</id><target-name>core</target-name><position>9</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex10</id><target-name>ex</target-name><position>10</position>
+ <chiplet><id>core10</id><target-name>core</target-name><position>10</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex11</id><target-name>ex</target-name><position>11</position>
+ <chiplet><id>core11</id><target-name>core</target-name><position>11</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex12</id><target-name>ex</target-name><position>12</position>
+ <chiplet><id>core12</id><target-name>core</target-name><position>12</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex13</id><target-name>ex</target-name><position>13</position>
+ <chiplet><id>core13</id><target-name>core</target-name><position>13</position></chiplet>
+ </chiplet>
+ <chiplet><id>ex14</id><target-name>ex</target-name><position>14</position>
+ <chiplet><id>core14</id><target-name>core</target-name><position>14</position></chiplet>
+ </chiplet>
+ <chiplet><id>occ</id><target-name>occ</target-name><position>0</position></chiplet>
+ <chiplet><id>nx</id><target-name>nx</target-name><position>0</position></chiplet>
+ <chiplet><id>pore</id><target-name>pore</target-name><position>0</position></chiplet>
+ <chiplet><id>mc0</id>
+ <chiplet><id>mcs0</id><target-name>mcs</target-name><position>0</position></chiplet>
+ <chiplet><id>mcs1</id><target-name>mcs</target-name><position>1</position></chiplet>
+ <chiplet><id>mcs2</id><target-name>mcs</target-name><position>2</position></chiplet>
+ <chiplet><id>mcs3</id><target-name>mcs</target-name><position>3</position></chiplet>
+ </chiplet>
+ <chiplet><id>mc1</id>
+ <chiplet><id>mcs4</id><target-name>mcs</target-name><position>4</position></chiplet>
+ <chiplet><id>mcs5</id><target-name>mcs</target-name><position>5</position></chiplet>
+ <chiplet><id>mcs6</id><target-name>mcs</target-name><position>6</position></chiplet>
+ <chiplet><id>mcs7</id><target-name>mcs</target-name><position>7</position></chiplet>
+ </chiplet>
+ </chiplets>
+ <units>
+ <ref-clockin-units>
+ <ref-clockin-unit><id>OSC0_CHIP_REFCLK</id><function>proc</function><port>0</port></ref-clockin-unit>
+ <ref-clockin-unit><id>OSC0_PCIE_REFCLK</id><function>pcie</function><port>0</port></ref-clockin-unit>
+ <ref-clockin-unit><id>OSC0_TOD_REFCLK</id><function>tod</function><port>0</port></ref-clockin-unit>
+ <ref-clockin-unit><id>OSC1_CHIP_REFCLK</id><function>proc</function><port>1</port></ref-clockin-unit>
+ <ref-clockin-unit><id>OSC1_PCIE_REFCLK</id><function>pcie</function><port>1</port></ref-clockin-unit>
+ <ref-clockin-unit><id>OSC1_TOD_REFCLK</id><function>tod</function><port>1</port></ref-clockin-unit>
+ </ref-clockin-units>
+ <i2c-slave-units>
+ <i2c-slave-unit>
+ <id>I2C0</id>
+ <pin-name>SDA0</pin-name>
+ <fixed-address></fixed-address>
+ <description>I2C Slave for BMC connection</description>
+ </i2c-slave-unit>
+ </i2c-slave-units>
+ <i2c-master-units>
+ <i2c-master-unit><id>I2CM_PROM</id><engine>6</engine><port>0</port><cfam-id>cfam0</cfam-id></i2c-master-unit>
+ <i2c-master-unit><id>I2CM_PROC_PROM</id><engine>0</engine><port>0</port></i2c-master-unit>
+ <i2c-master-unit><id>I2CM_PROM1</id><engine>6</engine><port>1</port><cfam-id>cfam0</cfam-id></i2c-master-unit>
+ <i2c-master-unit><id>I2CM_PROC_PROM1</id><engine>0</engine><port>1</port></i2c-master-unit>
+ <i2c-master-unit><id>I2CM_HOTPLUG</id><engine>1</engine><port>1</port></i2c-master-unit>
+ <i2c-master-unit><id>I2CM_LIGHTPATH</id><engine>1</engine><port>0</port></i2c-master-unit>
+ </i2c-master-units>
+ <gpio-master-units>
+ <gpio-master-unit><id>OSC0_OSCSW_CTL0</id></gpio-master-unit>
+ <gpio-master-unit><id>OSC0_OSCSW_CTL1</id></gpio-master-unit>
+ <gpio-master-unit><id>OSC1_OSCSW_CTL0</id></gpio-master-unit>
+ <gpio-master-unit><id>OSC1_OSCSW_CTL1</id></gpio-master-unit>
+ </gpio-master-units>
+ <gpio-slave-units>
+ <gpio-slave-unit><id>OSC0_USE_OSC0</id></gpio-slave-unit>
+ <gpio-slave-unit><id>OSC0_USE_OSC1</id></gpio-slave-unit>
+ <gpio-slave-unit><id>OSC1_USE_OSC0</id></gpio-slave-unit>
+ <gpio-slave-unit><id>OSC1_USE_OSC1</id></gpio-slave-unit>
+ </gpio-slave-units>
+ <spi-master-units>
+ <spi-master-unit><id>SPI</id><pin-name>SPI</pin-name></spi-master-unit>
+ </spi-master-units>
+ <psi-units>
+ <psi-unit><id>PSI</id></psi-unit>
+ </psi-units>
+ <fsi-slave-units>
+ <fsi-slave-unit><id>FSI_SLAVE0</id><port>0</port></fsi-slave-unit>
+ <fsi-slave-unit><id>FSI_SLAVE1</id><port>1</port></fsi-slave-unit>
+ </fsi-slave-units>
+ <fsi-cascade-master-units>
+ <fsi-cascade-master-unit><id>FSI_CASCADE0</id> <cmfsi>0</cmfsi><engine>12</engine><port>0</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE1</id> <cmfsi>0</cmfsi><engine>12</engine><port>1</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE2</id> <cmfsi>0</cmfsi><engine>12</engine><port>2</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE3</id> <cmfsi>0</cmfsi><engine>12</engine><port>3</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE4</id> <cmfsi>0</cmfsi><engine>12</engine><port>4</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE5</id> <cmfsi>0</cmfsi><engine>12</engine><port>5</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE6</id> <cmfsi>0</cmfsi><engine>12</engine><port>6</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE7</id> <cmfsi>0</cmfsi><engine>12</engine><port>7</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE8</id> <cmfsi>1</cmfsi><engine>12</engine><port>0</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE9</id> <cmfsi>1</cmfsi><engine>12</engine><port>1</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE10</id><cmfsi>1</cmfsi><engine>12</engine><port>2</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE11</id><cmfsi>1</cmfsi><engine>12</engine><port>3</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE12</id><cmfsi>1</cmfsi><engine>12</engine><port>4</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE13</id><cmfsi>1</cmfsi><engine>12</engine><port>5</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE14</id><cmfsi>1</cmfsi><engine>12</engine><port>6</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ <fsi-cascade-master-unit><id>FSI_CASCADE15</id><cmfsi>1</cmfsi><engine>12</engine><port>7</port><cfam-id>cfam0</cfam-id></fsi-cascade-master-unit>
+ </fsi-cascade-master-units>
+ <fsi-master-units>
+ <fsi-master-unit><id>MFSI0</id><engine>13</engine><port>0</port><cfam-id>cfam0</cfam-id></fsi-master-unit>
+ <fsi-master-unit><id>MFSI1</id><engine>13</engine><port>1</port><cfam-id>cfam0</cfam-id></fsi-master-unit>
+ <fsi-master-unit><id>MFSI2</id><engine>13</engine><port>2</port><cfam-id>cfam0</cfam-id></fsi-master-unit>
+ <fsi-master-unit><id>MFSI3</id><engine>13</engine><port>3</port><cfam-id>cfam0</cfam-id></fsi-master-unit>
+ <fsi-master-unit><id>MFSI4</id><engine>13</engine><port>4</port><cfam-id>cfam0</cfam-id></fsi-master-unit>
+ <fsi-master-unit><id>MFSI5</id><engine>13</engine><port>5</port><cfam-id>cfam0</cfam-id></fsi-master-unit>
+ <fsi-master-unit><id>MFSI6</id><engine>13</engine><port>6</port><cfam-id>cfam0</cfam-id></fsi-master-unit>
+ <fsi-master-unit><id>MFSI7</id><engine>13</engine><port>7</port><cfam-id>cfam0</cfam-id></fsi-master-unit>
+
+ </fsi-master-units>
+ <lpc-units>
+ <lpc-unit><id>LPC</id></lpc-unit>
+ </lpc-units>
+ <powerbus-units>
+ <powerbus-unit><id>A0</id><type>A</type></powerbus-unit>
+ <powerbus-unit><id>A1</id><type>A</type></powerbus-unit>
+ <powerbus-unit><id>A2</id><type>A</type></powerbus-unit>
+ <powerbus-unit><id>X0</id><type>X</type></powerbus-unit>
+ <powerbus-unit><id>X1</id><type>X</type></powerbus-unit>
+ <powerbus-unit><id>X2</id><type>X</type></powerbus-unit>
+ <powerbus-unit><id>X3</id><type>X</type></powerbus-unit>
+ </powerbus-units>
+ <pcie-root-units>
+ <pcie-root-unit><id>PCIE_IOP0</id><iop>0</iop><starting-lane>0</starting-lane></pcie-root-unit> <!-- IOP 0 is x16, or 2 x8s -->
+ <pcie-root-unit><id>PCIE_IOP0_1</id><iop>0</iop><starting-lane>8</starting-lane></pcie-root-unit> <!-- if IOP 0 is bifucated into 2 x8s, use this -->
+ <pcie-root-unit><id>PCIE_IOP1</id><iop>1</iop><starting-lane>0</starting-lane></pcie-root-unit> <!-- IOP 1 is x8 -->
+ </pcie-root-units>
+
+
+ <dmi-master-units>
+ <dmi-master-unit><id>DMI0</id><chiplet-id>mcs0</chiplet-id></dmi-master-unit>
+ <dmi-master-unit><id>DMI1</id><chiplet-id>mcs1</chiplet-id></dmi-master-unit>
+ <dmi-master-unit><id>DMI2</id><chiplet-id>mcs2</chiplet-id></dmi-master-unit>
+ <dmi-master-unit><id>DMI3</id><chiplet-id>mcs3</chiplet-id></dmi-master-unit>
+ <dmi-master-unit><id>DMI4</id><chiplet-id>mcs4</chiplet-id></dmi-master-unit>
+ <dmi-master-unit><id>DMI5</id><chiplet-id>mcs5</chiplet-id></dmi-master-unit>
+ <dmi-master-unit><id>DMI6</id><chiplet-id>mcs6</chiplet-id></dmi-master-unit>
+ <dmi-master-unit><id>DMI7</id><chiplet-id>mcs7</chiplet-id></dmi-master-unit>
+ </dmi-master-units>
+ <power-units>
+ <power-unit>
+ <id>VDD0</id>
+ <pin-name>VDD0</pin-name>
+ <voltage voltage-units="Volts">1.0</voltage>
+ <current-nom current-units="Amps">180</current-nom>
+ <current-max current-units="Amps">212</current-max>
+ </power-unit>
+ <power-unit>
+ <id>VCS0</id>
+ <pin-name>VCS</pin-name>
+ <voltage voltage-units="Volts">1.05</voltage>
+ <current-nom current-units="Amps">18</current-nom>
+ <current-max current-units="Amps">21</current-max>
+ </power-unit>
+ <power-unit>
+ <id>VIO</id>
+ <pin-name>VIO</pin-name>
+ <voltage voltage-units="Volts">1.05</voltage>
+ <current-nom current-units="Amps">18</current-nom>
+ <current-max current-units="Amps">21</current-max>
+ </power-unit>
+ <power-unit>
+ <id>VPCI</id>
+ <pin-name>VPCI</pin-name>
+ <voltage voltage-units="Volts">1.2</voltage>
+ <current-nom current-units="Amps">5</current-nom>
+ <current-max current-units="Amps">3</current-max>
+ </power-unit>
+ <power-unit>
+ <id>AVDD</id>
+ <pin-name>AVDD</pin-name>
+ <voltage voltage-units="Volts">1.5</voltage>
+ <current-nom current-units="Amps">2</current-nom>
+ <current-max current-units="Amps">1</current-max>
+ </power-unit>
+ </power-units>
+
+ </units>
+
+ <internal-units>
+
+ <!-- Units for the PHBs. Separate from the PCIE IOP units -->
+ <pcie-phb-units>
+ <pcie-phb-unit><id>PHB0</id><phb>0</phb></pcie-phb-unit>
+ <pcie-phb-unit><id>PHB1</id><phb>1</phb></pcie-phb-unit>
+ <pcie-phb-unit><id>PHB2</id><phb>2</phb></pcie-phb-unit>
+ </pcie-phb-units>
+
+ <!-- the CFAM engines -->
+ <engine-units>
+ <engine-unit>
+ <id>shift</id> <!-- FSI_SHIFT -->
+ <engine>3</engine>
+ </engine-unit>
+
+ <engine-unit>
+ <id>fsi2pib</id> <!-- FSI2PIB/JTAG Emulation -->
+ <engine>4</engine>
+ </engine-unit>
+
+ <engine-unit>
+ <id>scratchpad</id> <!-- FSI_SCRATCHPAD -->
+ <engine>5</engine>
+ </engine-unit>
+
+ <engine-unit>
+ <id>i2cm</id> <!-- FSI_I2CM -->
+ <engine>6</engine>
+ </engine-unit>
+
+ <engine-unit>
+ <id>mailbox</id> <!-- FSI_GEMINI_MAILBOX -->
+ <engine>10</engine>
+ </engine-unit>
+
+ <engine-unit>
+ <id>cMFSI</id> <!-- cMFSI control register space -->
+ <engine>12</engine>
+ </engine-unit>
+
+ <engine-unit>
+ <id>MFSI</id> <!-- MFSI control register space -->
+ <engine>13</engine>
+ </engine-unit>
+
+ </engine-units>
+
+ <cfam-units>
+ <cfam-unit><id>cfam0</id></cfam-unit>
+ </cfam-units>
+
+ </internal-units>
+
+ <internal-attributes>
+
+ <instruction-cache-attributes>
+ <per-cpu>yes</per-cpu>
+ <cache-size storage-units="KByte">32</cache-size>
+ <cache-line-size storage-units="Byte">128</cache-line-size>
+ <cache-block-size storage-units="Byte">128</cache-block-size>
+ <associativity>4-way</associativity>
+ </instruction-cache-attributes>
+
+ <data-cache-attributes>
+ <per-cpu>yes</per-cpu>
+ <cache-size storage-units="KByte">64</cache-size>
+ <cache-line-size storage-units="Byte">128</cache-line-size>
+ <cache-block-size storage-units="Byte">128</cache-block-size>
+ <associativity>8-way</associativity>
+ </data-cache-attributes>
+
+ <l2-cache-attributes>
+ <per-cpu>yes</per-cpu>
+ <cache-size storage-units="KByte">512</cache-size>
+ <cache-line-size storage-units="Byte">128</cache-line-size>
+ <cache-block-size storage-units="Byte">128</cache-block-size>
+ <associativity>8-way</associativity>
+ </l2-cache-attributes>
+
+ <l3-cache-attributes>
+ <per-cpu>yes</per-cpu>
+ <cache-size storage-units="MByte">512</cache-size>
+ <cache-line-size storage-units="Byte">128</cache-line-size>
+ <cache-block-size storage-units="Byte">128</cache-block-size>
+ <associativity>8-way</associativity>
+ </l3-cache-attributes>
+
+ <cpu-attributes>
+ <bus-width>8</bus-width>
+ <data-tlb-entries>512</data-tlb-entries>
+ <data-tlb-associativity>4</data-tlb-associativity>
+ <instruction-tlb-entries>512</instruction-tlb-entries>
+ <instruction-tlb-associativity>4</instruction-tlb-associativity>
+ <reservation-size storage-units="Byte">128</reservation-size>
+ <logically-unified-L1-instruction-data-cache>no</logically-unified-L1-instruction-data-cache>
+ <physically-unified-L1-instruction-data-cache>no</physically-unified-L1-instruction-data-cache>
+ <split-tlb-organization>no</split-tlb-organization>
+ <supports-instruction-tlbia>no</supports-instruction-tlbia>
+ <supports-perf-monitor>no</supports-perf-monitor>
+ <supports-instruction-stfiwx-fres-frsqrte-fsel>yes</supports-instruction-stfiwx-fres-frsqrte-fsel>
+ <supports-external-control-facility>no</supports-external-control-facility>
+ <supports-bridge-facilities>no</supports-bridge-facilities>
+ <threads-per-core>8</threads-per-core>
+ </cpu-attributes>
+
+ </internal-attributes>
+
+</part>
+
+</parts>
diff --git a/VRD_NOI2C.xml b/VRD_NOI2C.xml
new file mode 100644
index 0000000..08ff38e
--- /dev/null
+++ b/VRD_NOI2C.xml
@@ -0,0 +1,30 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/parts/VRD_NOI2C.xml,v 1.1 2012-02-07 20:15:27 njames Exp $ -->
+<parts xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw"
+ >
+
+<part>
+ <id>VRD_NOI2C</id>
+ <part-class>vrd</part-class>
+ <part-type>vrd</part-type>
+ <description>VRD w/no I2C</description>
+ <number-of-phases>1</number-of-phases>
+ <power-efficiency>90</power-efficiency>
+ <voltage-out voltage-units="Volts">3.3</voltage-out>
+ <units>
+ <pgood-units><pgood-unit><id>PGOOD</id><pin-name>PGOOD</pin-name></pgood-unit></pgood-units>
+ <enable-units><enable-unit><id>ENABLE</id><pin-name>ENABLE</pin-name></enable-unit></enable-units>
+ <power-input-units>
+ <power-input-unit><id>12V_IN</id><pin-name>12V_IN</pin-name>
+ <voltage voltage-units="Volts">12</voltage>
+ </power-input-unit>
+ </power-input-units>
+ <power-output-units>
+ <power-output-unit><id>VOUT</id><pin-name>VOUT</pin-name></power-output-unit>
+ </power-output-units>
+ </units>
+</part>
+
+</parts>
diff --git a/ddr3_dimm_generic.xml b/ddr3_dimm_generic.xml
new file mode 100644
index 0000000..e73479e
--- /dev/null
+++ b/ddr3_dimm_generic.xml
@@ -0,0 +1,46 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/mrwb/serverwiz/com.ibm.njames.serverwiz/src/com/ibm/njames/serverwiz/designer/model/LogicDiagram.java,v 1.54 2013/06/06 10:00:03 kpachar Exp $ --><card xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw" xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw">
+
+<id>ddr3_dimm_generic</id>
+<card-type>dimm</card-type>
+<description>DDR3 DIMM</description>
+
+<parts-used>
+ <part-used><part-id>DDR3_DRAM_ABSTRACT</part-id></part-used>
+ <part-used><part-id>DIMM_SPD</part-id></part-used>
+</parts-used>
+<connectors-used>
+ <connector><part-id>DDR3 DIMM</part-id></connector>
+</connectors-used>
+<part-instances>
+ <part-instance><id>USPD</id><part-id>DIMM_SPD</part-id><position>0</position><content-type>PRIMARY_FRU_VPD</content-type><vpd-size>24c32</vpd-size></part-instance>
+ <part-instance><id>U0</id><part-id>DDR3_DRAM_ABSTRACT</part-id><position>0</position></part-instance>
+</part-instances>
+<connector-instances>
+ <connector-instance><id>UCONN</id><connector-id>DDR3 DIMM</connector-id><position>0</position></connector-instance>
+</connector-instances>
+<busses>
+<ddrs>
+ <ddr>
+ <id>ddr13</id>
+ <source><connector-instance-id>UCONN</connector-instance-id><pin-name>DDR3_CH</pin-name></source>
+ <endpoint><part-instance-id>U0</part-instance-id><unit-name>DDR_INTERFACE</unit-name></endpoint>
+ </ddr>
+</ddrs>
+<powers>
+ <power>
+ <id>power12</id>
+ <resistance>0</resistance>
+ <source><connector-instance-id>UCONN</connector-instance-id><pin-name>VMEM</pin-name></source>
+ <endpoint><part-instance-id>U0</part-instance-id><unit-name>VDD</unit-name></endpoint>
+ </power>
+</powers>
+<i2cs>
+ <i2c>
+ <id>i2c14</id>
+ <source><connector-instance-id>UCONN</connector-instance-id><pin-name>I2CMASTER_DIMM</pin-name></source>
+ <endpoint><part-instance-id>USPD</part-instance-id><unit-name>SVPD</unit-name></endpoint>
+ </i2c>
+</i2cs>
+</busses>
+</card>
diff --git a/mru-type-mapping.xml b/mru-type-mapping.xml
new file mode 100644
index 0000000..ef7940e
--- /dev/null
+++ b/mru-type-mapping.xml
@@ -0,0 +1,266 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/common/mru-type-mapping.xml,v 1.1 2013/02/26 14:02:19 hlava Exp $ -->
+<mru-type-mapping xmlns:xi="http://www.w3.org/2001/XInclude"
+ xmlns:mrwsyspol="http://w3.ibm.com/stg/power-firmware/schema/mrwmrutm"
+ xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrwmrutm"
+ >
+
+<mru-type-map>
+ <mru-type-name>unknown</mru-type-name>
+ <mru-type-value>0xFFFF</mru-type-value>
+</mru-type-map>
+
+<!-- **********************************************************************
+ part-type mappings
+ ********************************************************************** -->
+<mru-type-map>
+ <from-part-types>
+ <part-type>cpu</part-type>
+ </from-part-types>
+ <mru-type-name>proc-chip</mru-type-name>
+ <mru-type-value>0x0001</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-part-types>
+ <part-type>membuf</part-type>
+ </from-part-types>
+ <mru-type-name>membuf-chip</mru-type-name>
+ <mru-type-value>0x0006</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-part-types>
+ <part-type>fsp</part-type>
+ </from-part-types>
+ <mru-type-name>sp-chip</mru-type-name>
+ <mru-type-value>0x0009</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-part-types>
+ <part-type>cfam-s</part-type>
+ </from-part-types>
+ <mru-type-name>sp-iou-chip</mru-type-name>
+ <mru-type-value>0x000A</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-part-types>
+ <part-type>pcie-switch</part-type>
+ <part-type>usb</part-type>
+ </from-part-types>
+ <mru-type-name>builtin-io</mru-type-name>
+ <mru-type-value>0x000B</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-part-types>
+ <part-type>flash</part-type>
+ </from-part-types>
+ <mru-type-name>flash-chip</mru-type-name>
+ <mru-type-value>0x000D</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-part-types>
+ <part-type>apss</part-type>
+ </from-part-types>
+ <mru-type-name>apss</mru-type-name>
+ <mru-type-value>0x000E</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-part-types>
+ <part-type>dpss</part-type>
+ </from-part-types>
+ <mru-type-name>dpss</mru-type-name>
+ <mru-type-value>0x000F</mru-type-value>
+</mru-type-map>
+
+<!-- **********************************************************************
+ card-type mappings
+ ********************************************************************** -->
+<mru-type-map>
+ <from-card-types>
+ <card-type>dcm-module</card-type>
+ </from-card-types>
+ <mru-type-name>proc-module</mru-type-name>
+ <mru-type-value>0x0100</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-card-types>
+ <card-type>pcie-riser</card-type>
+ </from-card-types>
+ <mru-type-name>riser-card</mru-type-name>
+ <mru-type-value>0x0101</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-card-types>
+ <card-type>dimm</card-type>
+ </from-card-types>
+ <mru-type-name>dimm</mru-type-name>
+ <mru-type-value>0x0102</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-card-types>
+ <card-type>vrm</card-type>
+ </from-card-types>
+ <mru-type-name>vrm-card</mru-type-name>
+ <mru-type-value>0x0104</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-card-types>
+ <card-type>*</card-type>
+ </from-card-types>
+ <mru-type-name>card-asm</mru-type-name>
+ <mru-type-value>0x0103</mru-type-value>
+</mru-type-map>
+
+<!-- **********************************************************************
+ chiplet-type mappings
+ ********************************************************************** -->
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>occ</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>occ</mru-type-name>
+ <mru-type-value>0x0201</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>ex</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>ex</mru-type-name>
+ <mru-type-value>0x0202</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>core</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>core</mru-type-name>
+ <mru-type-value>0x0203</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>L2</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>L2</mru-type-name>
+ <mru-type-value>0x0204</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>L3</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>L3</mru-type-name>
+ <mru-type-value>0x0205</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>ncu</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>ncu</mru-type-name>
+ <mru-type-value>0x0206</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>mcs</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>mcs</mru-type-name>
+ <mru-type-value>0x0207</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>nx</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>nx</mru-type-name>
+ <mru-type-value>0x0208</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>capp</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>capp</mru-type-name>
+ <mru-type-value>0x0209</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>slw</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>slw</mru-type-name>
+ <mru-type-value>0x020A</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>as</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>as</mru-type-name>
+ <mru-type-value>0x020B</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>sbe</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>sbe</mru-type-name>
+ <mru-type-value>0x020C</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>L4</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>L4</mru-type-name>
+ <mru-type-value>0x020D</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-chiplet-target-names>
+ <chiplet-target-name>mba</chiplet-target-name>
+ </from-chiplet-target-names>
+ <mru-type-name>mba</mru-type-name>
+ <mru-type-value>0x020E</mru-type-value>
+</mru-type-map>
+
+<!-- **********************************************************************
+ unit-type mappings
+ ********************************************************************** -->
+<mru-type-map>
+ <from-unit-types>
+ <unit-type>powerbus-unit,A</unit-type>
+ </from-unit-types>
+ <mru-type-name>abus</mru-type-name>
+ <mru-type-value>0x0301</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-unit-types>
+ <unit-type>powerbus-unit,X</unit-type>
+ </from-unit-types>
+ <mru-type-name>xbus</mru-type-name>
+ <mru-type-value>0x0302</mru-type-value>
+</mru-type-map>
+
+<mru-type-map>
+ <from-unit-types>
+ <unit-type>pcie-root-unit,*</unit-type>
+ </from-unit-types>
+ <mru-type-name>pcie</mru-type-name>
+ <mru-type-value>0x0303</mru-type-value>
+</mru-type-map>
+
+</mru-type-mapping>
diff --git a/pcie_x16_card.xml b/pcie_x16_card.xml
new file mode 100644
index 0000000..954236a
--- /dev/null
+++ b/pcie_x16_card.xml
@@ -0,0 +1,43 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/common/pcie_x16_card.xml,v 1.14 2013/06/04 15:45:24 szerdi Exp $ --><card xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw" xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw">
+
+<id>pcie_x16_card</id>
+<card-type>pcie</card-type>
+<description>PCIe x16 Card</description>
+
+<parts-used>
+ <part-used><part-id>PCIE_X16_ABSTRACT</part-id></part-used>
+</parts-used>
+<connectors-used>
+ <connector><part-id>PCIE_X16_SLOT</part-id></connector>
+</connectors-used>
+<part-instances>
+ <part-instance><id>U3</id><part-id>PCIE_X16_ABSTRACT</part-id><position>0</position></part-instance>
+</part-instances>
+<connector-instances>
+ <connector-instance><id>JSLOT</id><connector-id>PCIE_X16_SLOT</connector-id><position>0</position></connector-instance>
+</connector-instances>
+<busses>
+<pcies>
+ <pcie>
+ <id>pcie2</id>
+ <source><connector-instance-id>JSLOT</connector-instance-id><pin-name>PCIE_X16</pin-name></source>
+ <endpoint><part-instance-id>U3</part-instance-id><unit-name>PCIE_X16</unit-name></endpoint>
+ </pcie>
+</pcies>
+<powers>
+ <power>
+ <id>power11</id>
+ <resistance>0</resistance>
+ <source><connector-instance-id>JSLOT</connector-instance-id><pin-name>12V</pin-name></source>
+ <endpoint><part-instance-id>U3</part-instance-id><unit-name>12V</unit-name></endpoint>
+ </power>
+ <power>
+ <id>power14</id>
+ <resistance>0</resistance>
+ <source><connector-instance-id>JSLOT</connector-instance-id><pin-name>3.3V</pin-name></source>
+ <endpoint><part-instance-id>U3</part-instance-id><unit-name>3.3V</unit-name></endpoint>
+ </power>
+</powers>
+</busses>
+</card>
diff --git a/pcie_x8_card.xml b/pcie_x8_card.xml
new file mode 100644
index 0000000..e94f6a6
--- /dev/null
+++ b/pcie_x8_card.xml
@@ -0,0 +1,43 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/xml/common/pcie_x8_card.xml,v 1.7 2012-11-29 06:53:56 adamtin Exp $ --><card xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw" xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw">
+
+<id>pcie_x8_card</id>
+<card-type>pcie</card-type>
+<description>PCIe x8 Card</description>
+
+<parts-used>
+ <part-used><part-id>PCIE_X8_ABSTRACT</part-id></part-used>
+</parts-used>
+<connectors-used>
+ <connector><part-id>PCIE_X8_SLOT</part-id></connector>
+</connectors-used>
+<part-instances>
+ <part-instance><id>U0</id><part-id>PCIE_X8_ABSTRACT</part-id><position>0</position></part-instance>
+</part-instances>
+<connector-instances>
+ <connector-instance><id>JSLOT</id><connector-id>PCIE_X8_SLOT</connector-id><location>ignore</location><position>0</position></connector-instance>
+</connector-instances>
+<busses>
+<powers>
+ <power>
+ <id>power97</id>
+ <resistance>0</resistance>
+ <source><connector-instance-id>JSLOT</connector-instance-id><pin-name>3.3V</pin-name></source>
+ <endpoint><part-instance-id>U0</part-instance-id><unit-name>3.3V</unit-name></endpoint>
+ </power>
+ <power>
+ <id>power94</id>
+ <resistance>0</resistance>
+ <source><connector-instance-id>JSLOT</connector-instance-id><pin-name>12V</pin-name></source>
+ <endpoint><part-instance-id>U0</part-instance-id><unit-name>12V</unit-name></endpoint>
+ </power>
+</powers>
+<pcies>
+ <pcie>
+ <id>pcie88</id>
+ <source><connector-instance-id>JSLOT</connector-instance-id><pin-name>PCIE_X8</pin-name></source>
+ <endpoint><part-instance-id>U0</part-instance-id><unit-name>PCIE_X8</unit-name></endpoint>
+ </pcie>
+</pcies>
+</busses>
+</card>
diff --git a/turismo_scm.xml b/turismo_scm.xml
new file mode 100644
index 0000000..b031126
--- /dev/null
+++ b/turismo_scm.xml
@@ -0,0 +1,254 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- $Header: /afs/awd/projects/eclipz/KnowledgeBase/.cvsroot/eclipz/systems/pegasus/mrwb/serverwiz/com.ibm.njames.serverwiz/src/com/ibm/njames/serverwiz/designer/model/LogicDiagram.java,v 1.54 2013/06/06 10:00:03 kpachar Exp $ --><card xmlns:mrw="http://w3.ibm.com/stg/power-firmware/schema/mrw" xmlns="http://w3.ibm.com/stg/power-firmware/schema/mrw">
+
+<id>turismo_scm</id>
+<card-type>scm-module</card-type>
+<description></description>
+
+<parts-used>
+ <part-used><part-id>VENICE</part-id><ec-level>DD1</ec-level></part-used>
+ <part-used><part-id>MOD_SEEPROM_512</part-id></part-used>
+</parts-used>
+<connectors-used>
+ <connector><part-id>TURISMO_SOCKET</part-id></connector>
+</connectors-used>
+<part-instances>
+ <part-instance><id>U0</id><part-id>VENICE</part-id><position>0</position><ecmd>yes</ecmd><processor-family>IBM Power8</processor-family><ec-level>DD1</ec-level></part-instance>
+ <part-instance><id>U2</id><part-id>MOD_SEEPROM_512</part-id><position>0</position><content-type>PRIMARY_SBE_VPD</content-type><seeprom-byte-address-offset>2</seeprom-byte-address-offset><seeprom-write-page-boundary>256</seeprom-write-page-boundary><vpd-size>24c512</vpd-size><seeprom-memory-size>512</seeprom-memory-size></part-instance>
+ <part-instance><id>U1</id><part-id>MOD_SEEPROM_512</part-id><position>1</position><content-type>PRIMARY_FRU_AND_MODULE_VPD</content-type><seeprom-byte-address-offset>2</seeprom-byte-address-offset><seeprom-write-page-boundary>256</seeprom-write-page-boundary><vpd-size>24c512</vpd-size><seeprom-memory-size>512</seeprom-memory-size></part-instance>
+ <part-instance><id>U3</id><part-id>MOD_SEEPROM_512</part-id><position>2</position><content-type>REDUNDANT_FRU_AND_MODULE_VPD</content-type><seeprom-byte-address-offset>2</seeprom-byte-address-offset><seeprom-write-page-boundary>256</seeprom-write-page-boundary><vpd-size>24c512</vpd-size><seeprom-memory-size>512</seeprom-memory-size></part-instance>
+ <part-instance><id>U4</id><part-id>MOD_SEEPROM_512</part-id><position>3</position><content-type>REDUNDANT_SBE_VPD</content-type><seeprom-byte-address-offset>2</seeprom-byte-address-offset><seeprom-write-page-boundary>256</seeprom-write-page-boundary><vpd-size>24c512</vpd-size><seeprom-memory-size>512</seeprom-memory-size></part-instance>
+</part-instances>
+<connector-instances>
+ <connector-instance><id>JBSM</id><connector-id>TURISMO_SOCKET</connector-id><position>0</position></connector-instance>
+</connector-instances>
+<busses>
+<dmis>
+ <dmi>
+ <id>dmi11</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>DMI7</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>DMI3</pin-name></endpoint>
+ </dmi>
+ <dmi>
+ <id>dmi5</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>DMI2</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>DMI0</pin-name></endpoint>
+ </dmi>
+ <dmi>
+ <id>dmi2</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>DMI0</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>DMI1</pin-name></endpoint>
+ </dmi>
+ <dmi>
+ <id>dmi8</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>DMI1</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>DMI2</pin-name></endpoint>
+ </dmi>
+</dmis>
+<clocks>
+ <clock>
+ <id>clock20</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>OSC0_TOD_REFCLK</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>OSC0_TOD_REFCLK</pin-name></endpoint>
+ </clock>
+ <clock>
+ <id>clock17</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>OSC0_PCIE_REFCLK</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>OSC0_PCIE_REFCLK</pin-name></endpoint>
+ </clock>
+ <clock>
+ <id>clock2</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>OSC0_CHIP_REFCLK</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>LPC_CLK</pin-name></endpoint>
+ </clock>
+</clocks>
+<psis>
+ <psi>
+ <id>psi122</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>PSI</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>PSI</pin-name></endpoint>
+ </psi>
+</psis>
+<spis>
+ <spi>
+ <id>spi62</id>
+ <source><connector-instance-id>JBSM</connector-instance-id><pin-name>SPI</pin-name></source>
+ <endpoint><part-instance-id>U0</part-instance-id><unit-name>SPI</unit-name></endpoint>
+ </spi>
+</spis>
+<lpcs>
+ <lpc>
+ <id>lpc2</id>
+ <source><connector-instance-id>JBSM</connector-instance-id><pin-name>LPC</pin-name></source>
+ <endpoint><part-instance-id>U0</part-instance-id><unit-name>LPC</unit-name></endpoint>
+ </lpc>
+</lpcs>
+<pcies>
+ <pcie>
+ <id>pcie5</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>PCIE_IOP1</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>PCIE1</pin-name></endpoint>
+ </pcie>
+ <pcie>
+ <id>pcie2</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>PCIE_IOP0</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>PCIE0</pin-name></endpoint>
+ </pcie>
+</pcies>
+<powers>
+ <power>
+ <id>power155</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>VDD0</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>VDD</pin-name></endpoint>
+ </power>
+ <power>
+ <id>power149</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>VIO</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>VIO</pin-name></endpoint>
+ </power>
+ <power>
+ <id>power152</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>VCS0</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>VCS</pin-name></endpoint>
+ </power>
+ <power>
+ <id>power146</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>VPCI</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>VPCI</pin-name></endpoint>
+ </power>
+ <power>
+ <id>power143</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>AVDD</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>AVDD</pin-name></endpoint>
+ </power>
+</powers>
+<i2cs>
+ <i2c>
+ <id>i2c11</id>
+ <use-for-presence-detect>No</use-for-presence-detect>
+ <address>A0</address>
+ <speed>400</speed>
+ <source><part-instance-id>U0</part-instance-id><unit-name>I2CM_PROM1</unit-name></source>
+ <endpoint><part-instance-id>U3</part-instance-id><unit-name>I2C</unit-name></endpoint>
+ </i2c>
+ <i2c>
+ <id>i2c125</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>I2C0</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>I2C_0</pin-name></endpoint>
+ </i2c>
+ <i2c>
+ <id>i2c2</id>
+ <use-for-presence-detect>No</use-for-presence-detect>
+ <address>0xA0</address>
+ <speed>400</speed>
+ <source><part-instance-id>U1</part-instance-id><unit-name>I2C</unit-name></source>
+ <endpoint><part-instance-id>U0</part-instance-id><unit-name>I2CM_PROM</unit-name></endpoint>
+ </i2c>
+ <i2c>
+ <id>i2c8</id>
+ <use-for-presence-detect>No</use-for-presence-detect>
+ <address>A2</address>
+ <speed>400</speed>
+ <source><part-instance-id>U0</part-instance-id><unit-name>I2CM_PROM1</unit-name></source>
+ <endpoint><part-instance-id>U4</part-instance-id><unit-name>I2C</unit-name></endpoint>
+ </i2c>
+ <i2c>
+ <id>i2c5</id>
+ <use-for-presence-detect>No</use-for-presence-detect>
+ <address>A2</address>
+ <speed>400</speed>
+ <source><part-instance-id>U0</part-instance-id><unit-name>I2CM_PROM</unit-name></source>
+ <endpoint><part-instance-id>U2</part-instance-id><unit-name>I2C</unit-name></endpoint>
+ </i2c>
+ <i2c>
+ <id>i2c128</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>I2CM_HOTPLUG</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>I2C_HOTPLUG</pin-name></endpoint>
+ </i2c>
+</i2cs>
+<fsis>
+ <fsi>
+ <id>fsi65</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>FSI_CASCADE3</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>FSI0_CENT3</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi74</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>FSI_CASCADE6</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>FSI0_CENT6</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi2</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>MFSI3</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>MFSI3</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi62</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>FSI_CASCADE2</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>FSI0_CENT2</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi113</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>MFSI2</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>MFSI2</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi56</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>FSI_CASCADE0</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>FSI0_CENT0</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi110</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>MFSI1</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>MFSI1</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi77</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>FSI_CASCADE7</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>FSI0_CENT7</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi116</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>FSI_SLAVE0</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>FSI_A</pin-name></endpoint>
+ </fsi>
+ <fsi>
+ <id>fsi59</id>
+ <source><part-instance-id>U0</part-instance-id><unit-name>FSI_CASCADE1</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>FSI0_CENT1</pin-name></endpoint>
+ </fsi>
+</fsis>
+<powerbusses>
+ <powerbus>
+ <id>powerbus53</id>
+ <rx-msb-lsb-swap>No</rx-msb-lsb-swap>
+ <downstream_n_p_lane_swap_mask>0x00000000</downstream_n_p_lane_swap_mask>
+ <tx-msb-lsb-swap>No</tx-msb-lsb-swap>
+ <bus-width>2</bus-width>
+ <upstream_n_p_lane_swap_mask>0x00000000</upstream_n_p_lane_swap_mask>
+ <source><part-instance-id>U0</part-instance-id><unit-name>A0</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>A0</pin-name></endpoint>
+ </powerbus>
+ <powerbus>
+ <id>powerbus47</id>
+ <rx-msb-lsb-swap>No</rx-msb-lsb-swap>
+ <downstream_n_p_lane_swap_mask>0x00000000</downstream_n_p_lane_swap_mask>
+ <tx-msb-lsb-swap>No</tx-msb-lsb-swap>
+ <bus-width>2</bus-width>
+ <upstream_n_p_lane_swap_mask>0x00000000</upstream_n_p_lane_swap_mask>
+ <source><part-instance-id>U0</part-instance-id><unit-name>A2</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>A2</pin-name></endpoint>
+ </powerbus>
+ <powerbus>
+ <id>powerbus50</id>
+ <rx-msb-lsb-swap>No</rx-msb-lsb-swap>
+ <downstream_n_p_lane_swap_mask>0x00000000</downstream_n_p_lane_swap_mask>
+ <tx-msb-lsb-swap>No</tx-msb-lsb-swap>
+ <bus-width>2</bus-width>
+ <upstream_n_p_lane_swap_mask>0x00000000</upstream_n_p_lane_swap_mask>
+ <source><part-instance-id>U0</part-instance-id><unit-name>A1</unit-name></source>
+ <endpoint><connector-instance-id>JBSM</connector-instance-id><pin-name>A1</pin-name></endpoint>
+ </powerbus>
+</powerbusses>
+</busses>
+</card>
OpenPOWER on IntegriCloud