summaryrefslogtreecommitdiffstats
path: root/arch/arm/cpu/armv7/u8500/lowlevel.S
blob: d3e39206010fbdc378aca3f66040c76f27d77d55 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
/*
 * (C) Copyright 2011 ST-Ericsson
 *
 * SPDX-License-Identifier:	GPL-2.0+
 */

#include <config.h>
#include <linux/linkage.h>

ENTRY(lowlevel_init)
	mov	pc, lr
ENDPROC(lowlevel_init)

	.align	5
ENTRY(reset_cpu)
	ldr r0, =CFG_PRCMU_BASE
	ldr r1, =0x1
	str r1, [r0, #0x228]
_loop_forever:
	b	_loop_forever
ENDPROC(reset_cpu)
OpenPOWER on IntegriCloud