summaryrefslogtreecommitdiffstats
path: root/drivers/i2c/omap24xx_i2c.c
diff options
context:
space:
mode:
authorTom Rini <trini@ti.com>2013-12-10 09:29:45 -0500
committerTom Rini <trini@ti.com>2013-12-10 09:29:45 -0500
commit76a8265b4f54d1b9cd2b70efb46dcdeaa5d20bfb (patch)
tree47ede3d54193de3883adc8ae6bdbf16c1fcab271 /drivers/i2c/omap24xx_i2c.c
parent3f56795635ab419d3378451a9291490cfa47f472 (diff)
parente717fc6d1a2b459ae8352f7af5945cc0c216ab1e (diff)
downloadblackbird-obmc-uboot-76a8265b4f54d1b9cd2b70efb46dcdeaa5d20bfb.tar.gz
blackbird-obmc-uboot-76a8265b4f54d1b9cd2b70efb46dcdeaa5d20bfb.zip
Merge branch 'master' of git://git.denx.de/u-boot-i2c
Diffstat (limited to 'drivers/i2c/omap24xx_i2c.c')
-rw-r--r--drivers/i2c/omap24xx_i2c.c6
1 files changed, 0 insertions, 6 deletions
diff --git a/drivers/i2c/omap24xx_i2c.c b/drivers/i2c/omap24xx_i2c.c
index 3d38c035b6..c7840049b1 100644
--- a/drivers/i2c/omap24xx_i2c.c
+++ b/drivers/i2c/omap24xx_i2c.c
@@ -158,7 +158,6 @@ static void omap24_i2c_init(struct i2c_adapter *adap, int speed, int slaveadd)
udelay(1000);
flush_fifo(adap);
writew(0xFFFF, &i2c_base->stat);
- writew(0, &i2c_base->cnt);
}
static void flush_fifo(struct i2c_adapter *adap)
@@ -198,8 +197,6 @@ static int omap24_i2c_probe(struct i2c_adapter *adap, uchar chip)
return res;
/* No data transfer, slave addr only */
- writew(0, &i2c_base->cnt);
- /* Set slave address */
writew(chip, &i2c_base->sa);
/* Stop bit needed here */
writew(I2C_CON_EN | I2C_CON_MST | I2C_CON_STT | I2C_CON_TRX |
@@ -234,7 +231,6 @@ static int omap24_i2c_probe(struct i2c_adapter *adap, uchar chip)
pr_exit:
flush_fifo(adap);
writew(0xFFFF, &i2c_base->stat);
- writew(0, &i2c_base->cnt);
return res;
}
@@ -372,7 +368,6 @@ static int omap24_i2c_read(struct i2c_adapter *adap, uchar chip, uint addr,
rd_exit:
flush_fifo(adap);
writew(0xFFFF, &i2c_base->stat);
- writew(0, &i2c_base->cnt);
return i2c_error;
}
@@ -473,7 +468,6 @@ static int omap24_i2c_write(struct i2c_adapter *adap, uchar chip, uint addr,
wr_exit:
flush_fifo(adap);
writew(0xFFFF, &i2c_base->stat);
- writew(0, &i2c_base->cnt);
return i2c_error;
}
OpenPOWER on IntegriCloud