summaryrefslogtreecommitdiffstats
path: root/translated_examples/ifchain2.v
diff options
context:
space:
mode:
Diffstat (limited to 'translated_examples/ifchain2.v')
-rw-r--r--translated_examples/ifchain2.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/translated_examples/ifchain2.v b/translated_examples/ifchain2.v
index 53e223d..0510f84 100644
--- a/translated_examples/ifchain2.v
+++ b/translated_examples/ifchain2.v
@@ -11,7 +11,7 @@ output reg result
reg [3:0] counter;
-parameter CLK_DIV_VAL = 11;
+parameter CLK_DIV_VAL = (11);
always @(posedge clk, posedge rstn) begin
if((rstn == 1'b0)) begin
OpenPOWER on IntegriCloud