summaryrefslogtreecommitdiffstats
path: root/translated_examples/genericmap.v
diff options
context:
space:
mode:
Diffstat (limited to 'translated_examples/genericmap.v')
-rw-r--r--translated_examples/genericmap.v16
1 files changed, 14 insertions, 2 deletions
diff --git a/translated_examples/genericmap.v b/translated_examples/genericmap.v
index 578f491..010962e 100644
--- a/translated_examples/genericmap.v
+++ b/translated_examples/genericmap.v
@@ -45,8 +45,14 @@ wire [1:0] colour;
// Inputs
.clk(clk),
.rstn(rstn),
+ .en(1'b1),
+ .start(1'b0),
+ .param(8'h42),
+ .addr(3'b101),
+ .din(24'h111111),
+ .we(1'b0),
// Outputs
- .dout(dout),
+ .dout(dout[23:0]),
.memaddr(memaddr),
.memdout(memdout));
@@ -57,8 +63,14 @@ wire [1:0] colour;
// Inputs
.clk(clk),
.rstn(rstn),
+ .en(1'b1),
+ .start(1'b0),
+ .param(8'h42),
+ .addr(3'b101),
+ .din(16'h1111),
+ .we(1'b0),
// Outputs
- .dout(dout),
+ .dout(dout[15:0]),
.memaddr(memaddr),
.memdout(memdout));
OpenPOWER on IntegriCloud