summaryrefslogtreecommitdiffstats
path: root/translated_examples/genericmap.v
diff options
context:
space:
mode:
Diffstat (limited to 'translated_examples/genericmap.v')
-rw-r--r--translated_examples/genericmap.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/translated_examples/genericmap.v b/translated_examples/genericmap.v
index 37bc95d..4214c40 100644
--- a/translated_examples/genericmap.v
+++ b/translated_examples/genericmap.v
@@ -27,7 +27,7 @@ output wire eno
);
parameter rst_val=1'b0;
-parameter [31:0] thing_size=201;
+parameter [31:0] thing_size=201 % 2;
parameter [31:0] bus_width=201 % 32;
// Outputs
OpenPOWER on IntegriCloud