summaryrefslogtreecommitdiffstats
path: root/translated_examples/dsp.v
diff options
context:
space:
mode:
Diffstat (limited to 'translated_examples/dsp.v')
-rw-r--r--translated_examples/dsp.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/translated_examples/dsp.v b/translated_examples/dsp.v
index 24b3626..71e44dd 100644
--- a/translated_examples/dsp.v
+++ b/translated_examples/dsp.v
@@ -17,7 +17,7 @@ output wire [13:0] memdout
);
parameter rst_val=1'b0;
-parameter [31:0] thing_size=201;
+parameter [31:0] thing_size=51;
parameter [31:0] bus_width=24;
// Inputs
// Outputs
OpenPOWER on IntegriCloud