summaryrefslogtreecommitdiffstats
path: root/examples/todo.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'examples/todo.vhd')
-rw-r--r--examples/todo.vhd1
1 files changed, 0 insertions, 1 deletions
diff --git a/examples/todo.vhd b/examples/todo.vhd
index bd9e81f..a5d4de5 100644
--- a/examples/todo.vhd
+++ b/examples/todo.vhd
@@ -5,7 +5,6 @@ use IEEE.numeric_std.all;
entity todo is
generic(
INBYLEVEL : boolean:=FALSE
- --DEB_TIME : real:=50.0e-3 -- unexpected NAME, expecting ')' at "e"
);
port (
clk_i : in std_logic;
OpenPOWER on IntegriCloud