summaryrefslogtreecommitdiffstats
path: root/examples/genericmap.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'examples/genericmap.vhd')
-rw-r--r--examples/genericmap.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/genericmap.vhd b/examples/genericmap.vhd
index 876f159..3038f88 100644
--- a/examples/genericmap.vhd
+++ b/examples/genericmap.vhd
@@ -3,7 +3,7 @@ USE IEEE.std_logic_1164.all;
entity genericmap is
generic(
rst_val : std_logic := '0';
- thing_size: integer := 201;
+ thing_size: integer := 201 rem 2;
bus_width : integer := 201 mod 32);
port(
clk, rstn : in std_logic;
OpenPOWER on IntegriCloud