summaryrefslogtreecommitdiffstats
path: root/examples/genericmap.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'examples/genericmap.vhd')
-rw-r--r--examples/genericmap.vhd7
1 files changed, 7 insertions, 0 deletions
diff --git a/examples/genericmap.vhd b/examples/genericmap.vhd
index c5275ba..876f159 100644
--- a/examples/genericmap.vhd
+++ b/examples/genericmap.vhd
@@ -95,4 +95,11 @@ begin
memaddr => memaddr,
memdout => memdout
);
+
+ signextend_inst2 : entity work.signextend
+ port map (
+ i => "0000000000000000",
+ o => open
+ );
+
end rtl;
OpenPOWER on IntegriCloud