summaryrefslogtreecommitdiffstats
path: root/examples/dsp.vhd
diff options
context:
space:
mode:
Diffstat (limited to 'examples/dsp.vhd')
-rw-r--r--examples/dsp.vhd2
1 files changed, 1 insertions, 1 deletions
diff --git a/examples/dsp.vhd b/examples/dsp.vhd
index 4f139d5..7527936 100644
--- a/examples/dsp.vhd
+++ b/examples/dsp.vhd
@@ -5,7 +5,7 @@ USE IEEE.numeric_std.all;
entity dsp is generic(
rst_val : std_logic := '0';
- thing_size: integer := 201;
+ thing_size: integer := 51;
bus_width : integer := 24);
port(
-- Inputs
OpenPOWER on IntegriCloud