summaryrefslogtreecommitdiffstats
path: root/translated_examples/genericmap.v
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-12-01 17:51:10 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-12-01 17:51:10 -0300
commit5857951169357d6ad64aac9dee3f864d4fddf65b (patch)
tree964e52203ec7d38ec86aa167f2628cf35d09ef25 /translated_examples/genericmap.v
parent4aa6f8e07914cb1aa8cde5da5e3512c0f717f737 (diff)
downloadvhdl2vl-5857951169357d6ad64aac9dee3f864d4fddf65b.tar.gz
vhdl2vl-5857951169357d6ad64aac9dee3f864d4fddf65b.zip
Added support for REM
It works as MOD. MOD is bad implemented, but useful when the two operand has the same sign.
Diffstat (limited to 'translated_examples/genericmap.v')
-rw-r--r--translated_examples/genericmap.v2
1 files changed, 1 insertions, 1 deletions
diff --git a/translated_examples/genericmap.v b/translated_examples/genericmap.v
index 37bc95d..4214c40 100644
--- a/translated_examples/genericmap.v
+++ b/translated_examples/genericmap.v
@@ -27,7 +27,7 @@ output wire eno
);
parameter rst_val=1'b0;
-parameter [31:0] thing_size=201;
+parameter [31:0] thing_size=201 % 2;
parameter [31:0] bus_width=201 % 32;
// Outputs
OpenPOWER on IntegriCloud