summaryrefslogtreecommitdiffstats
path: root/src/vhd2vl.l
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-12-01 17:51:10 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-12-01 17:51:10 -0300
commit5857951169357d6ad64aac9dee3f864d4fddf65b (patch)
tree964e52203ec7d38ec86aa167f2628cf35d09ef25 /src/vhd2vl.l
parent4aa6f8e07914cb1aa8cde5da5e3512c0f717f737 (diff)
downloadvhdl2vl-5857951169357d6ad64aac9dee3f864d4fddf65b.tar.gz
vhdl2vl-5857951169357d6ad64aac9dee3f864d4fddf65b.zip
Added support for REM
It works as MOD. MOD is bad implemented, but useful when the two operand has the same sign.
Diffstat (limited to 'src/vhd2vl.l')
-rw-r--r--src/vhd2vl.l1
1 files changed, 1 insertions, 0 deletions
diff --git a/src/vhd2vl.l b/src/vhd2vl.l
index 9719206..36ac4ee 100644
--- a/src/vhd2vl.l
+++ b/src/vhd2vl.l
@@ -126,6 +126,7 @@ int scan_int(char *s);
"xor" { return XOR; }
"xnor" { return XNOR; }
"mod" { return MOD; }
+"rem" { return RW_REM; }
"**" { return POW; }
"event" { return EVENT; }
"rising_edge" { return POSEDGE;}
OpenPOWER on IntegriCloud