summaryrefslogtreecommitdiffstats
path: root/examples/todo.vhd
diff options
context:
space:
mode:
authorRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-12-01 17:51:10 -0300
committerRodrigo Alejandro Melo <rmelo@inti.gob.ar>2017-12-01 17:51:10 -0300
commit5857951169357d6ad64aac9dee3f864d4fddf65b (patch)
tree964e52203ec7d38ec86aa167f2628cf35d09ef25 /examples/todo.vhd
parent4aa6f8e07914cb1aa8cde5da5e3512c0f717f737 (diff)
downloadvhdl2vl-5857951169357d6ad64aac9dee3f864d4fddf65b.tar.gz
vhdl2vl-5857951169357d6ad64aac9dee3f864d4fddf65b.zip
Added support for REM
It works as MOD. MOD is bad implemented, but useful when the two operand has the same sign.
Diffstat (limited to 'examples/todo.vhd')
-rw-r--r--examples/todo.vhd9
1 files changed, 2 insertions, 7 deletions
diff --git a/examples/todo.vhd b/examples/todo.vhd
index a5d4de5..7b05d2a 100644
--- a/examples/todo.vhd
+++ b/examples/todo.vhd
@@ -20,9 +20,6 @@ architecture rtl of todo is
signal int : integer;
signal uns : unsigned(7 downto 0);
- -- unexpected NAME at "rem"
- --constant VALUE : positive := 9 rem 2;
-
constant BYTES : positive:=4;
constant WIDTH : positive:=BYTES*8;
signal index : natural range 0 to BYTES-1;
@@ -30,8 +27,8 @@ architecture rtl of todo is
-- (others => (others => '0')) must be replaced by an initial block with a for
-- or something similar.
- type ff_array is array (0 to 255) of std_logic_vector(7 downto 0);
- signal data_r : ff_array :=(others => (others => '0'));
+ --type ff_array is array (0 to 255) of std_logic_vector(7 downto 0);
+ --signal data_r : ff_array :=(others => (others => '0'));
begin
--**************************************************************************
-- Wrong translations
@@ -69,8 +66,6 @@ begin
-- i => "00000000" & X"11", -- But here fail
-- o => open
-- );
- -- unexpected NAME, expecting WHEN or ';'
- --int <= 9 rem 2;
-- Unsupported generate with boolean?
-- in_by_level:
-- if INBYLEVEL generate
OpenPOWER on IntegriCloud