summaryrefslogtreecommitdiffstats
path: root/main.v
diff options
context:
space:
mode:
authorRaptor Engineering Development Team <support@raptorengineering.com>2019-03-14 16:00:11 -0500
committerRaptor Engineering Development Team <support@raptorengineering.com>2019-03-14 16:00:11 -0500
commit14ad067f65b45558a513879cf64e039b2212843c (patch)
treea7020b2127bdb8220fce9b9f90bcb836d4a21af7 /main.v
parent819d0eb720549fc34a0c9f2a34946fdca04a6a32 (diff)
downloadtalos-system-fpga-14ad067f65b45558a513879cf64e039b2212843c.tar.gz
talos-system-fpga-14ad067f65b45558a513879cf64e039b2212843c.zip
Add initial pre-PAR simulation framework from internal files
Diffstat (limited to 'main.v')
-rw-r--r--main.v12
1 files changed, 6 insertions, 6 deletions
diff --git a/main.v b/main.v
index 07ae362..43f8667 100644
--- a/main.v
+++ b/main.v
@@ -59,8 +59,8 @@ module system_fpga_top
// Second CPU presence detect
input wire cpub_present_l,
- output wire cpub_clk_oea,
- output wire cpub_clk_oeb,
+ output reg cpub_clk_oea,
+ output reg cpub_clk_oeb,
// Resets
output reg lpc_rst,
@@ -75,7 +75,7 @@ module system_fpga_top
output reg window_open_n,
// BMC system reset signalling
- inout bmc_system_reset_request_n,
+ output reg bmc_system_reset_request_n,
// Component disable lines
output reg pmc_disable_n,
@@ -290,9 +290,9 @@ module system_fpga_top
reg [7:0] i2c_write_reg_latch = 0;
// Front panel control signals
- wire panel_nic1_led_cathode_std;
- wire panel_nic2_led_cathode_std;
- wire panel_uid_led_std;
+ reg panel_nic1_led_cathode_std;
+ reg panel_nic2_led_cathode_std;
+ reg panel_uid_led_std;
reg [2:0] bmc_startup_kr = 3'b000;
reg [2:0] bmc_startup_fader = 3'b000;
reg [2:0] bmc_startup_staggered_fader = 3'b000;
OpenPOWER on IntegriCloud