summaryrefslogtreecommitdiffstats
diff options
context:
space:
mode:
-rwxr-xr-xMAKEALL1
-rw-r--r--board/lpc2292sodimm/Makefile51
-rw-r--r--board/lpc2292sodimm/config.mk30
-rw-r--r--board/lpc2292sodimm/flash.c252
-rw-r--r--board/lpc2292sodimm/lowlevel_init.S87
-rw-r--r--board/lpc2292sodimm/lpc2292sodimm.c57
-rw-r--r--boards.cfg1
-rw-r--r--doc/README.scrapyard1
-rw-r--r--include/configs/lpc2292sodimm.h159
9 files changed, 1 insertions, 638 deletions
diff --git a/MAKEALL b/MAKEALL
index 350a524c4b..c6e6d04df0 100755
--- a/MAKEALL
+++ b/MAKEALL
@@ -301,7 +301,6 @@ LIST_SA="$(boards_by_cpu sa1100)"
#########################################################################
LIST_ARM7=" \
- lpc2292sodimm \
modnet50 \
"
diff --git a/board/lpc2292sodimm/Makefile b/board/lpc2292sodimm/Makefile
deleted file mode 100644
index c1a5b0b37d..0000000000
--- a/board/lpc2292sodimm/Makefile
+++ /dev/null
@@ -1,51 +0,0 @@
-#
-# (C) Copyright 2007
-# Wolfgang Denk, DENX Software Engineering, wd@denx.de.
-#
-# See file CREDITS for list of people who contributed to this
-# project.
-#
-# This program is free software; you can redistribute it and/or
-# modify it under the terms of the GNU General Public License as
-# published by the Free Software Foundation; either version 2 of
-# the License, or (at your option) any later version.
-#
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-# GNU General Public License for more details.
-#
-# You should have received a copy of the GNU General Public License
-# along with this program; if not, write to the Free Software
-# Foundation, Inc., 59 Temple Place, Suite 330, Boston,
-# MA 02111-1307 USA
-#
-
-include $(TOPDIR)/config.mk
-
-LIB = $(obj)lib$(BOARD).o
-
-COBJS := flash.o lpc2292sodimm.o
-SOBJTS := lowlevel_init.o
-
-SRCS := $(SOBJTS:.o=.S) $(COBJS:.o=.c)
-OBJS := $(addprefix $(obj),$(COBJS))
-SOBJS := $(addprefix $(obj),$(SOBJTS))
-
-$(LIB): $(obj).depend $(OBJS) $(SOBJS)
- $(call cmd_link_o_target, $(OBJS) $(SOBJS))
-
-clean:
- rm -f $(SOBJS) $(OBJS)
-
-distclean: clean
- rm -f $(LIB) core *.bak $(obj).depend
-
-#########################################################################
-
-# defines $(obj).depend target
-include $(SRCTREE)/rules.mk
-
-sinclude $(obj).depend
-
-#########################################################################
diff --git a/board/lpc2292sodimm/config.mk b/board/lpc2292sodimm/config.mk
deleted file mode 100644
index 48917928e1..0000000000
--- a/board/lpc2292sodimm/config.mk
+++ /dev/null
@@ -1,30 +0,0 @@
-#
-# (C) Copyright 2000
-# Sysgo Real-Time Solutions, GmbH <www.elinos.com>
-# Marius Groeger <mgroeger@sysgo.de>
-#
-# (C) Copyright 2000
-# Wolfgang Denk, DENX Software Engineering, wd@denx.de.
-#
-# See file CREDITS for list of people who contributed to this
-# project.
-#
-# This program is free software; you can redistribute it and/or
-# modify it under the terms of the GNU General Public License as
-# published by the Free Software Foundation; either version 2 of
-# the License, or (at your option) any later version.
-#
-# This program is distributed in the hope that it will be useful,
-# but WITHOUT ANY WARRANTY; without even the implied warranty of
-# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-# GNU General Public License for more details.
-#
-# You should have received a copy of the GNU General Public License
-# along with this program; if not, write to the Free Software
-# Foundation, Inc., 59 Temple Place, Suite 330, Boston,
-# MA 02111-1307 USA
-#
-
-#address where u-boot will be relocated
-#CONFIG_SYS_TEXT_BASE = 0x0
-CONFIG_SYS_TEXT_BASE = 0x81500000
diff --git a/board/lpc2292sodimm/flash.c b/board/lpc2292sodimm/flash.c
deleted file mode 100644
index fd5389fb98..0000000000
--- a/board/lpc2292sodimm/flash.c
+++ /dev/null
@@ -1,252 +0,0 @@
-/*
- * (C) Copyright 2006 Embedded Artists AB <www.embeddedartists.com>
- *
- * Modified to use the routines in arch/arm/cpu/arm720t/lpc2292/flash.c by
- * Gary Jennejohn <garyj@denx,de>
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License as
- * published by the Free Software Foundation; either version 2 of
- * the License, or (at your option) any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
- * MA 02111-1307 USA
- */
-
-#include <common.h>
-#include <asm/arch/hardware.h>
-
-#define SST_BASEADDR 0x80000000
-#define SST_ADDR1 ((volatile ushort*)(SST_BASEADDR + (0x5555 << 1)))
-#define SST_ADDR2 ((volatile ushort*)(SST_BASEADDR + (0x2AAA << 1)))
-
-
-flash_info_t flash_info[CONFIG_SYS_MAX_FLASH_BANKS];
-
-extern int lpc2292_copy_buffer_to_flash(flash_info_t *, ulong);
-extern int lpc2292_flash_erase(flash_info_t *, int, int);
-extern int lpc2292_write_buff (flash_info_t *, uchar *, ulong, ulong);
-
-/*-----------------------------------------------------------------------
- *
- */
-void write_word_sst(ulong addr, ushort data)
-{
- ushort tmp;
-
- *SST_ADDR1 = 0x00AA;
- *SST_ADDR2 = 0x0055;
- *SST_ADDR1 = 0x00A0;
- *((volatile ushort*)addr) = data;
- /* do data polling */
- do {
- tmp = *((volatile ushort*)addr);
- } while (tmp != data);
-}
-
-/*-----------------------------------------------------------------------
- */
-
-ulong flash_init (void)
-{
- int j, k;
- ulong size = 0;
- ulong flashbase = 0;
-
- flash_info[0].flash_id = (PHILIPS_LPC2292 & FLASH_VENDMASK);
- flash_info[0].size = 0x003E000; /* 256 - 8 KB */
- flash_info[0].sector_count = 17;
- memset (flash_info[0].protect, 0, 17);
- flashbase = 0x00000000;
- for (j = 0, k = 0; j < 8; j++, k++) {
- flash_info[0].start[k] = flashbase;
- flashbase += 0x00002000;
- }
- for (j = 0; j < 2; j++, k++) {
- flash_info[0].start[k] = flashbase;
- flashbase += 0x00010000;
- }
- for (j = 0; j < 7; j++, k++) {
- flash_info[0].start[k] = flashbase;
- flashbase += 0x00002000;
- }
- size += flash_info[0].size;
-
- flash_info[1].flash_id = (SST_MANUFACT & FLASH_VENDMASK);
- flash_info[1].size = 0x00200000; /* 2 MB */
- flash_info[1].sector_count = 512;
- memset (flash_info[1].protect, 0, 512);
- flashbase = SST_BASEADDR;
- for (j=0; j<512; j++) {
- flash_info[1].start[j] = flashbase;
- flashbase += 0x1000; /* 4 KB sectors */
- }
- size += flash_info[1].size;
-
- /* Protect monitor and environment sectors */
- flash_protect (FLAG_PROTECT_SET,
- 0x0,
- 0x0 + monitor_flash_len - 1,
- &flash_info[0]);
-
- flash_protect (FLAG_PROTECT_SET,
- CONFIG_ENV_ADDR,
- CONFIG_ENV_ADDR + CONFIG_ENV_SIZE - 1,
- &flash_info[0]);
-
- return size;
-}
-
-/*-----------------------------------------------------------------------
- */
-void flash_print_info (flash_info_t * info)
-{
- int i;
- int erased = 0;
- unsigned long j;
- unsigned long count;
- unsigned char *p;
-
- switch (info->flash_id & FLASH_VENDMASK) {
- case (SST_MANUFACT & FLASH_VENDMASK):
- printf("SST: ");
- break;
- case (PHILIPS_LPC2292 & FLASH_VENDMASK):
- printf("Philips: ");
- break;
- default:
- printf ("Unknown Vendor ");
- break;
- }
-
- printf (" Size: %ld KB in %d Sectors\n",
- info->size >> 10, info->sector_count);
-
- printf (" Sector Start Addresses:");
- for (i = 0; i < info->sector_count; i++) {
- if ((i % 5) == 0) {
- printf ("\n ");
- }
- if (i < (info->sector_count - 1)) {
- count = info->start[i+1] - info->start[i];
- }
- else {
- count = info->start[0] + info->size - info->start[i];
- }
- p = (unsigned char*)(info->start[i]);
- erased = 1;
- for (j = 0; j < count; j++) {
- if (*p != 0xFF) {
- erased = 0;
- break;
- }
- p++;
- }
- printf (" %08lX%s%s", info->start[i], info->protect[i] ? " RO" : " ",
- erased ? " E" : " ");
- }
- printf ("\n");
-}
-
-int flash_erase_sst (flash_info_t * info, int s_first, int s_last)
-{
- int i;
-
- for (i = s_first; i <= s_last; i++) {
- *SST_ADDR1 = 0x00AA;
- *SST_ADDR2 = 0x0055;
- *SST_ADDR1 = 0x0080;
- *SST_ADDR1 = 0x00AA;
- *SST_ADDR2 = 0x0055;
- *((volatile ushort*)(info->start[i])) = 0x0030;
- /* wait for erase to finish */
- udelay(25000);
- }
-
- return ERR_OK;
-}
-
-int flash_erase (flash_info_t * info, int s_first, int s_last)
-{
- switch (info->flash_id & FLASH_VENDMASK) {
- case (SST_MANUFACT & FLASH_VENDMASK):
- return flash_erase_sst(info, s_first, s_last);
- case (PHILIPS_LPC2292 & FLASH_VENDMASK):
- return lpc2292_flash_erase(info, s_first, s_last);
- default:
- return ERR_PROTECTED;
- }
- return ERR_PROTECTED;
-}
-
-/*-----------------------------------------------------------------------
- * Copy memory to flash.
- *
- * cnt is in bytes
- */
-
-int write_buff_sst (flash_info_t * info, uchar * src, ulong addr, ulong cnt)
-{
- ushort tmp;
- ulong i;
- uchar* src_org;
- uchar* dst_org;
- ulong cnt_org = cnt;
- int ret = ERR_OK;
-
- src_org = src;
- dst_org = (uchar*)addr;
-
- if (addr & 1) { /* if odd address */
- tmp = *((uchar*)(addr - 1)); /* little endian */
- tmp |= (*src << 8);
- write_word_sst(addr - 1, tmp);
- addr += 1;
- cnt -= 1;
- src++;
- }
- while (cnt > 1) {
- tmp = ((*(src+1)) << 8) + (*src); /* little endian */
- write_word_sst(addr, tmp);
- addr += 2;
- src += 2;
- cnt -= 2;
- }
- if (cnt > 0) {
- tmp = (*((uchar*)(addr + 1))) << 8;
- tmp |= *src;
- write_word_sst(addr, tmp);
- }
-
- for (i = 0; i < cnt_org; i++) {
- if (*dst_org != *src_org) {
- printf("Write failed. Byte %lX differs\n", i);
- ret = ERR_PROG_ERROR;
- break;
- }
- dst_org++;
- src_org++;
- }
-
- return ret;
-}
-
-int write_buff (flash_info_t * info, uchar * src, ulong addr, ulong cnt)
-{
- switch (info->flash_id & FLASH_VENDMASK) {
- case (SST_MANUFACT & FLASH_VENDMASK):
- return write_buff_sst(info, src, addr, cnt);
- case (PHILIPS_LPC2292 & FLASH_VENDMASK):
- return lpc2292_write_buff(info, src, addr, cnt);
- default:
- return ERR_PROG_ERROR;
- }
- return ERR_PROG_ERROR;
-}
diff --git a/board/lpc2292sodimm/lowlevel_init.S b/board/lpc2292sodimm/lowlevel_init.S
deleted file mode 100644
index f579a1a47e..0000000000
--- a/board/lpc2292sodimm/lowlevel_init.S
+++ /dev/null
@@ -1,87 +0,0 @@
-/*
- * (C) Copyright 2006 Embedded Artists AB <www.embeddedartists.com>
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License as
- * published by the Free Software Foundation; either version 2 of
- * the License, or (at your option) any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
- * MA 02111-1307 USA
- */
-
-#include <config.h>
-#include <version.h>
-#include <asm/arch/hardware.h>
-
-/* some parameters for the board */
-/* setting up the memory */
-#define SRAM_START 0x40000000
-#define SRAM_SIZE 0x00004000
-#define BCFG0_VALUE 0x1000ffef
-#define BCFG1_VALUE 0x10001C61
-
-_TEXT_BASE:
- .word CONFIG_SYS_TEXT_BASE
-MEMMAP_ADR:
- .word MEMMAP
-BCFG0_ADR:
- .word BCFG0
-_BCFG0_VALUE:
- .word BCFG0_VALUE
-BCFG1_ADR:
- .word BCFG1
-_BCFG1_VALUE:
- .word BCFG1_VALUE
-PINSEL2_ADR:
- .word PINSEL2
-PINSEL2_MASK:
- .word 0x00000000
-PINSEL2_VALUE:
- .word 0x0F800914
-
-.extern _start
-
-.globl lowlevel_init
-lowlevel_init:
- /* set up memory control register for bank 0 */
- ldr r0, _BCFG0_VALUE
- ldr r1, BCFG0_ADR
- str r0, [r1]
-
- /* set up memory control register for bank 1 */
- ldr r0, _BCFG1_VALUE
- ldr r1, BCFG1_ADR
- str r0, [r1]
-
- /* set up PINSEL2 for bus-pins */
- ldr r0, PINSEL2_ADR
- ldr r1, [r0]
- ldr r2, PINSEL2_MASK
- ldr r3, PINSEL2_VALUE
- and r1, r1, r2
- orr r1, r1, r3
- str r1, [r0]
-
- /* move vectors to beginning of SRAM */
- mov r2, #SRAM_START
- mov r0, #0 /*_start*/
- ldmneia r0!, {r3-r10}
- stmneia r2!, {r3-r10}
- ldmneia r0, {r3-r9}
- stmneia r2, {r3-r9}
-
- /* Set-up MEMMAP register, so vectors are taken from SRAM */
- ldr r0, MEMMAP_ADR
- mov r1, #0x02 /* vectors re-mapped to static RAM */
- str r1, [r0]
-
- /* everything is fine now */
- mov pc, lr
diff --git a/board/lpc2292sodimm/lpc2292sodimm.c b/board/lpc2292sodimm/lpc2292sodimm.c
deleted file mode 100644
index 9c2d1af2fc..0000000000
--- a/board/lpc2292sodimm/lpc2292sodimm.c
+++ /dev/null
@@ -1,57 +0,0 @@
-/*
- * (C) Copyright 2002
- * Sysgo Real-Time Solutions, GmbH <www.elinos.com>
- * Marius Groeger <mgroeger@sysgo.de>
- *
- * (C) Copyright 2005 Rowel Atienza <rowel@diwalabs.com>
- * Armadillo board HT1070
- *
- * See file CREDITS for list of people who contributed to this
- * project.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License as
- * published by the Free Software Foundation; either version 2 of
- * the License, or (at your option) any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
- * MA 02111-1307 USA
- */
-
-#include <common.h>
-#include <clps7111.h>
-
-DECLARE_GLOBAL_DATA_PTR;
-
-/*
- * Miscelaneous platform dependent initialisations
- */
-
-int board_init (void)
-{
- /* Activate LED flasher */
- IO_LEDFLSH = 0x40;
-
- /* arch number MACH_TYPE_ARMADILLO - not official*/
- gd->bd->bi_arch_number = 83;
-
- /* location of boot parameters */
- gd->bd->bi_boot_params = PHYS_SDRAM_1 + 0x100;
-
- return 0;
-}
-
-int dram_init (void)
-{
- gd->bd->bi_dram[0].start = PHYS_SDRAM_1;
- gd->bd->bi_dram[0].size = PHYS_SDRAM_1_SIZE;
-
- return (0);
-}
diff --git a/boards.cfg b/boards.cfg
index da280e2054..7405e907c3 100644
--- a/boards.cfg
+++ b/boards.cfg
@@ -44,7 +44,6 @@ omap2420h4 arm arm1136 - ti
tnetv107x_evm arm arm1176 tnetv107xevm ti tnetv107x
modnet50 arm arm720t
integratorap_cm720t arm arm720t integrator armltd - integratorap
-lpc2292sodimm arm arm720t - - lpc2292
integratorap_cm920t arm arm920t integrator armltd - integratorap
integratorcp_cm920t arm arm920t integrator armltd - integratorcp
a320evb arm arm920t - faraday a320
diff --git a/doc/README.scrapyard b/doc/README.scrapyard
index bfe664e0ec..44b43ad8fa 100644
--- a/doc/README.scrapyard
+++ b/doc/README.scrapyard
@@ -11,6 +11,7 @@ easily if here is something they might want to dig for...
Board Arch CPU removed Commit last known maintainer/contact
=============================================================================
+lpc2292sodimm arm arm720t - 2011-09-05
lart arm sa1100 - 2011-09-05 Alex Züpke <azu@sysgo.de>
impa7 arm arm720t - 2011-09-05 Marius Gröger <mag@sysgo.de>
gcplus arm sa1100 - 2011-09-05 George G. Davis <gdavis@mvista.com>
diff --git a/include/configs/lpc2292sodimm.h b/include/configs/lpc2292sodimm.h
deleted file mode 100644
index 17972d7e6f..0000000000
--- a/include/configs/lpc2292sodimm.h
+++ /dev/null
@@ -1,159 +0,0 @@
-/*
- * (C) Copyright 2007
- * Wolfgang Denk, DENX Software Engineering, wd@denx.de.
- *
- * Configuation settings for the LPC2292SODIMM board from Embedded Artists.
- *
- * See file CREDITS for list of people who contributed to this
- * project.
- *
- * This program is free software; you can redistribute it and/or
- * modify it under the terms of the GNU General Public License as
- * published by the Free Software Foundation; either version 2 of
- * the License, or (at your option) any later version.
- *
- * This program is distributed in the hope that it will be useful,
- * but WITHOUT ANY WARRANTY; without even the implied warranty of
- * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
- * GNU General Public License for more details.
- *
- * You should have received a copy of the GNU General Public License
- * along with this program; if not, write to the Free Software
- * Foundation, Inc., 59 Temple Place, Suite 330, Boston,
- * MA 02111-1307 USA
- */
-
-#ifndef __CONFIG_H
-#define __CONFIG_H
-
-/*
- * If we are developing, we might want to start u-boot from ram
- * so we MUST NOT initialize critical regs like mem-timing ...
- */
-#undef CONFIG_SKIP_LOWLEVEL_INIT
-
-/*
- * High Level Configuration Options
- * (easy to change)
- */
-#define CONFIG_ARM7 1 /* This is a ARM7 CPU */
-#define CONFIG_ARM_THUMB 1 /* this is an ARM720TDMI */
-#define CONFIG_LPC2292
-#undef CONFIG_ARM7_REVD /* disable ARM720 REV.D Workarounds */
-
-#undef CONFIG_USE_IRQ /* don't need them anymore */
-
-/*
- * Size of malloc() pool
- */
-#define CONFIG_SYS_MALLOC_LEN (CONFIG_ENV_SIZE + 128*1024)
-
-/*
- * Hardware drivers
- */
-
-/*
- * select serial console configuration
- */
-#define CONFIG_LPC2292_SERIAL
-#define CONFIG_SERIAL1 1 /* we use Serial line 1 */
-
-/* allow to overwrite serial and ethaddr */
-#define CONFIG_ENV_OVERWRITE
-
-#define CONFIG_BAUDRATE 115200
-
-/*
- * BOOTP options
- */
-#define CONFIG_BOOTP_SUBNETMASK
-#define CONFIG_BOOTP_GATEWAY
-#define CONFIG_BOOTP_HOSTNAME
-#define CONFIG_BOOTP_BOOTPATH
-#define CONFIG_BOOTP_BOOTFILESIZE
-
-
-/*
- * Command line configuration.
- */
-#include <config_cmd_default.h>
-
-#define CONFIG_CMD_DHCP
-#define CONFIG_CMD_FAT
-#define CONFIG_CMD_MMC
-#define CONFIG_CMD_NET
-#define CONFIG_CMD_PING
-
-
-#define CONFIG_MAC_PARTITION
-#define CONFIG_DOS_PARTITION
-
-#define CONFIG_BOOTDELAY 5
-
-/*
- * Miscellaneous configurable options
- */
-#define CONFIG_SYS_LONGHELP /* undef to save memory */
-#define CONFIG_SYS_PROMPT "LPC2292SODIMM # " /* Monitor Command Prompt */
-#define CONFIG_SYS_CBSIZE 256 /* Console I/O Buffer Size */
-#define CONFIG_SYS_PBSIZE (CONFIG_SYS_CBSIZE+sizeof(CONFIG_SYS_PROMPT)+16) /* Print Buffer Size */
-#define CONFIG_SYS_MAXARGS 16 /* max number of command args */
-#define CONFIG_SYS_BARGSIZE CONFIG_SYS_CBSIZE /* Boot Argument Buffer Size */
-
-#define CONFIG_SYS_MEMTEST_START 0x40000000 /* memtest works on */
-#define CONFIG_SYS_MEMTEST_END 0x40000000 /* 4 ... 8 MB in DRAM */
-
-#define CONFIG_SYS_LOAD_ADDR 0x00040000 /* default load address for */
- /* armadillo: kernel img is here*/
-
-#define CONFIG_SYS_SYS_CLK_FREQ 58982400 /* Hz */
-#define CONFIG_SYS_HZ 2048 /* decrementer freq in Hz */
-
- /* valid baudrates */
-#define CONFIG_SYS_BAUDRATE_TABLE { 9600, 19200, 38400, 57600, 115200 }
-
-/*-----------------------------------------------------------------------
- * Stack sizes
- *
- * The stack sizes are set up in start.S using the settings below
- */
-#define CONFIG_STACKSIZE (128*1024) /* regular stack */
-#ifdef CONFIG_USE_IRQ
-#define CONFIG_STACKSIZE_IRQ (4*1024) /* IRQ stack */
-#define CONFIG_STACKSIZE_FIQ (4*1024) /* FIQ stack */
-#endif
-
-/*-----------------------------------------------------------------------
- * Physical Memory Map
- */
-#define CONFIG_NR_DRAM_BANKS 1 /* we have 1 bank of DRAM */
-#define PHYS_SDRAM_1 0x81000000 /* SDRAM Bank #1 */
-#define PHYS_SDRAM_1_SIZE 0x00800000 /* 8 MB SDRAM */
-
-#define PHYS_FLASH_1 0x80000000 /* Flash Bank #1 */
-#define PHYS_FLASH_SIZE 0x00200000 /* 2 MB */
-
-#define CONFIG_SYS_FLASH_BASE PHYS_FLASH_1
-
-/*-----------------------------------------------------------------------
- * FLASH and environment organization
- */
-#define CONFIG_SYS_MAX_FLASH_BANKS 2 /* max number of memory banks */
-#define CONFIG_SYS_MAX_FLASH_SECT 1024 /* max number of sectors on one chip */
-
-/* timeout values are in ticks */
-#define CONFIG_SYS_FLASH_ERASE_TOUT (2*CONFIG_SYS_HZ) /* Timeout for Flash Erase */
-#define CONFIG_SYS_FLASH_WRITE_TOUT (2*CONFIG_SYS_HZ) /* Timeout for Flash Write */
-
-#define CONFIG_ENV_IS_IN_FLASH 1
-#define CONFIG_ENV_ADDR (0x0 + 0x3C000) /* Addr of Environment Sector */
-#define CONFIG_ENV_SIZE 0x2000 /* Total Size of Environment Sector */
-
-#define CONFIG_CMDLINE_TAG
-#define CONFIG_SETUP_MEMORY_TAGS
-#define CONFIG_INITRD_TAG
-#define CONFIG_MMC 1
-/* we use this ethernet chip */
-#define CONFIG_ENC28J60_LPC2292
-
-#endif /* __CONFIG_H */
OpenPOWER on IntegriCloud