summaryrefslogtreecommitdiffstats
path: root/src/usr/diag/prdf/plat/mem/prdfMemDsd.H
diff options
context:
space:
mode:
Diffstat (limited to 'src/usr/diag/prdf/plat/mem/prdfMemDsd.H')
-rw-r--r--src/usr/diag/prdf/plat/mem/prdfMemDsd.H2
1 files changed, 1 insertions, 1 deletions
diff --git a/src/usr/diag/prdf/plat/mem/prdfMemDsd.H b/src/usr/diag/prdf/plat/mem/prdfMemDsd.H
index 73f133832..c53af33bc 100644
--- a/src/usr/diag/prdf/plat/mem/prdfMemDsd.H
+++ b/src/usr/diag/prdf/plat/mem/prdfMemDsd.H
@@ -87,7 +87,7 @@ class DsdEvent : public TdEntry
o_rc = startNextPhase( io_sc );
if ( SUCCESS != o_rc )
{
- PRDF_ERR( PRDF_FUNC "analyzePhase() failed on 0x%08x,0x%2x",
+ PRDF_ERR( PRDF_FUNC "startNextPhase() failed on 0x%08x,0x%2x",
iv_chip->getHuid(), getKey() );
break;
}
OpenPOWER on IntegriCloud