summaryrefslogtreecommitdiffstats
path: root/src/usr/hwpf/hwp/include
diff options
context:
space:
mode:
authorNorman James <njames@us.ibm.com>2014-11-10 09:23:22 -0600
committerA. Patrick Williams III <iawillia@us.ibm.com>2014-12-03 09:42:26 -0600
commit1033b6f27ae04e22b2daccaff459b0266cb87c03 (patch)
tree979f15801eefde6d1b2be39c169e2cce45e1482d /src/usr/hwpf/hwp/include
parente6b7b30e1722ea948c090bf757e04510517ff890 (diff)
downloadtalos-hostboot-1033b6f27ae04e22b2daccaff459b0266cb87c03.tar.gz
talos-hostboot-1033b6f27ae04e22b2daccaff459b0266cb87c03.zip
Added I2c slave addresses.
Addresses are pulled from MRW. If 0x0, I2c slave is disabled. Change-Id: I02292052fedcb78d3e5fb4c624d562520079a6a7 RTC: 115636 Reviewed-on: http://gfw160.aus.stglabs.ibm.com:8080/gerrit/14414 Tested-by: Jenkins Server Reviewed-by: Andrew J. Geissler <andrewg@us.ibm.com> Reviewed-by: Daniel M. Crowell <dcrowell@us.ibm.com> Reviewed-by: A. Patrick Williams III <iawillia@us.ibm.com>
Diffstat (limited to 'src/usr/hwpf/hwp/include')
-rwxr-xr-xsrc/usr/hwpf/hwp/include/common_scom_addresses.H6
1 files changed, 6 insertions, 0 deletions
diff --git a/src/usr/hwpf/hwp/include/common_scom_addresses.H b/src/usr/hwpf/hwp/include/common_scom_addresses.H
index d5a2d35f4..8a37e56f4 100755
--- a/src/usr/hwpf/hwp/include/common_scom_addresses.H
+++ b/src/usr/hwpf/hwp/include/common_scom_addresses.H
@@ -285,6 +285,12 @@ CONST_UINT64_T( TP_CLK_ADJ_SET_0x010F0016 , ULL(0x010F0016) );
CONST_UINT64_T( ECCB_ECC_ADDR_REG_0x000C0004 , ULL(0x000C0004) );
//------------------------------------------------------------------------------
+// I2C SLAVE REGISTERS
+//------------------------------------------------------------------------------
+
+CONST_UINT64_T( I2C_SLAVE_CONFIG_REG_0x000D0000 , ULL(0x000D0000) );
+
+//------------------------------------------------------------------------------
// I2C MASTER (MEMS0)
//------------------------------------------------------------------------------
CONST_UINT64_T( I2CMS_MEMS0_CONTROL_0x000A0000 , ULL(0x000A0000) );
OpenPOWER on IntegriCloud