summaryrefslogtreecommitdiffstats
path: root/src/include
diff options
context:
space:
mode:
authorPatrick Williams <iawillia@us.ibm.com>2010-05-19 16:41:11 -0500
committerPatrick Williams <iawillia@us.ibm.com>2010-05-19 16:41:11 -0500
commit37d92a552ef9afbef4095f2aff83587f5ebf204d (patch)
treed6585b4f6c85e0ed8779641cc79300f447b1c4f3 /src/include
parent78f5edabe6fd21f55ddff82f978a1b5d46d62ffa (diff)
downloadtalos-hostboot-37d92a552ef9afbef4095f2aff83587f5ebf204d.tar.gz
talos-hostboot-37d92a552ef9afbef4095f2aff83587f5ebf204d.zip
Full vargs printk.
Diffstat (limited to 'src/include')
-rw-r--r--src/include/kernel/console.H2
-rw-r--r--src/include/stdarg.h10
-rw-r--r--src/include/stdint.h8
3 files changed, 15 insertions, 5 deletions
diff --git a/src/include/kernel/console.H b/src/include/kernel/console.H
index 4c5f7a52a..d54132ffb 100644
--- a/src/include/kernel/console.H
+++ b/src/include/kernel/console.H
@@ -4,7 +4,7 @@
#include <stdint.h>
#include <string.h>
-void printk(const char*);
+void printk(const char*, ...);
class Console
{
diff --git a/src/include/stdarg.h b/src/include/stdarg.h
new file mode 100644
index 000000000..10d7d44fd
--- /dev/null
+++ b/src/include/stdarg.h
@@ -0,0 +1,10 @@
+#ifndef __STDARG_H
+#define __STDARG_H
+
+#define va_list __builtin_va_list
+#define va_start(a,b) __builtin_va_start(a,b)
+#define va_arg(a,b) __builtin_va_arg(a,b)
+#define va_end(a) __builtin_va_end(a)
+#define va_copy(a,b) __builtin_va_copy(a,b)
+
+#endif
diff --git a/src/include/stdint.h b/src/include/stdint.h
index 350f4c3da..f5a30a9f5 100644
--- a/src/include/stdint.h
+++ b/src/include/stdint.h
@@ -3,13 +3,13 @@
typedef char int8_t;
typedef short int int16_t;
-typedef long int int32_t;
-typedef long long int int64_t;
+typedef int int32_t;
+typedef long int int64_t;
typedef unsigned char uint8_t;
typedef unsigned short int uint16_t;
-typedef unsigned long int uint32_t;
-typedef unsigned long long int uint64_t;
+typedef unsigned int uint32_t;
+typedef unsigned long int uint64_t;
typedef uint64_t size_t;
typedef int64_t ssize_t;
OpenPOWER on IntegriCloud